ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'G:\\Entwicklung\\Zeichnungen\\3D\\parts - step iges\\Halter BEK-P Kug elkopf.stp', /* time_stamp */ '2020-02-27T13:47:13+01:00', /* author */ ('S. Sandt'), /* organization */ ('di-soric GmbH & Co. KG'), /* preprocessor_version */ 'ST-DEVELOPER v18', /* originating_system */ 'Autodesk Inventor 2020', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#55,#56, #57),#2468); #11=ITEM_DEFINED_TRANSFORMATION($,$,#1346,#1499); #12=ITEM_DEFINED_TRANSFORMATION($,$,#1421,#1500); #13=ITEM_DEFINED_TRANSFORMATION($,$,#1476,#1501); #14=ITEM_DEFINED_TRANSFORMATION($,$,#1476,#1502); #15=( REPRESENTATION_RELATIONSHIP($,$,#2486,#2485) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) SHAPE_REPRESENTATION_RELATIONSHIP() ); #16=( REPRESENTATION_RELATIONSHIP($,$,#2487,#2485) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#12) SHAPE_REPRESENTATION_RELATIONSHIP() ); #17=( REPRESENTATION_RELATIONSHIP($,$,#2488,#2485) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#13) SHAPE_REPRESENTATION_RELATIONSHIP() ); #18=( REPRESENTATION_RELATIONSHIP($,$,#2488,#2485) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#14) SHAPE_REPRESENTATION_RELATIONSHIP() ); #19=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#15,#2481); #20=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#16,#2482); #21=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#17,#2483); #22=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#18,#2484); #23=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Platte BEK-P:1','Platte BEK-P:1', 'Platte BEK-P:1',#2490,#2491,'Platte BEK-P:1'); #24=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Kugelkopf 4Bohr:1', 'Kugelkopf 4Bohr:1','Kugelkopf 4Bohr:1',#2490,#2492,'Kugelkopf 4Bohr:1'); #25=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:1', 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:1', 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:1',#2490,#2493, 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:1'); #26=NEXT_ASSEMBLY_USAGE_OCCURRENCE( 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:2', 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:2', 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:2',#2490,#2493, 'DIN 7991 - ersetzt durch DIN EN ISO 10642 M5 x 10:2'); #27=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2390,#2391,#2392),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.087632710355844),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.07735026918963,1.07735026918963)) REPRESENTATION_ITEM('') ); #28=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2399,#2400,#2401),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.087632710355844,0.175265420711688), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.07735026918963,1.07735026918963,1.)) REPRESENTATION_ITEM('') ); #29=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2404,#2405,#2406),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #30=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2412,#2413,#2414),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837926,1.)) REPRESENTATION_ITEM('') ); #31=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2420,#2421,#2422),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #32=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2428,#2429,#2430),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #33=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#2435,#2436,#2437),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.175265420711688),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.15470053837925,1.)) REPRESENTATION_ITEM('') ); #34=TOROIDAL_SURFACE('',#1453,9.4,0.5); #35=TOROIDAL_SURFACE('',#1455,4.8,0.8); #36=TOROIDAL_SURFACE('',#1457,4.8,0.8); #37=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2104,#2105,#2106,#2107,#2108,#2109), .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.852093419208668,-0.799149324828805,-0.726328863323082), .UNSPECIFIED.); #38=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2111,#2112,#2113,#2114,#2115,#2116, #2117,#2118,#2119,#2120,#2121,#2122,#2123,#2124,#2125,#2126,#2127), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,2,4),(-0.215403236526638,-0.163242945797558, -0.111082655068479,-0.0555413275342394,0.,0.0749407336291809,0.149881467258362, 0.169757834384221),.UNSPECIFIED.); #39=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2133,#2134,#2135,#2136,#2137,#2138, #2139,#2140,#2141,#2142,#2143),.UNSPECIFIED.,.F.,.F.,(4,3,2,2,4),(-0.0705150725202991, 0.,0.0658960641010904,0.131792128202181,0.191275203144651), .UNSPECIFIED.); #40=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2145,#2146,#2147,#2148,#2149,#2150, #2151,#2152,#2153,#2154,#2155,#2156,#2157,#2158,#2159,#2160,#2161,#2162, #2163,#2164,#2165,#2166,#2167,#2168),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2, 2,2,2,2,2,4),(-0.983563557889073,-0.924080482946605,-0.858184418845515, -0.792288354744425,-0.691843649737269,-0.591398944730114,-0.493771561051163, -0.396144177372212,-0.298516793693262,-0.200889410014311,-0.100444705007155, -0.0705150725202991),.UNSPECIFIED.); #41=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2174,#2175,#2176,#2177,#2178,#2179, #2180,#2181,#2182,#2183,#2184,#2185,#2186,#2187,#2188,#2189,#2190,#2191, #2192,#2193,#2194,#2195,#2196,#2197,#2198),.UNSPECIFIED.,.F.,.F.,(4,2,2, 2,2,2,2,2,3,2,2,4),(-0.721773282224124,-0.691843649737269,-0.591398944730114, -0.493771561051163,-0.396144177372212,-0.298516793693262,-0.200889410014311, -0.100444705007155,0.,0.0658960641010906,0.131792128202181,0.191275203144651), .UNSPECIFIED.); #42=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2200,#2201,#2202,#2203,#2204,#2205, #2206,#2207,#2208,#2209),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.983563557889074, -0.924080482946606,-0.858184418845515,-0.792288354744425,-0.721773282224124), .UNSPECIFIED.); #43=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2211,#2212,#2213,#2214,#2215,#2216, #2217,#2218,#2219,#2220,#2221,#2222,#2223,#2224,#2225,#2226,#2227,#2228), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(-0.726328863323082,-0.65350840181736, -0.580687940311637,-0.505747206682457,-0.430806473053276,-0.375265145519036, -0.319723817984797,-0.267563527255717,-0.215403236526638),.UNSPECIFIED.); #44=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2231,#2232,#2233,#2234,#2235,#2236, #2237,#2238),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-0.339156132385999,-0.319723817984797, -0.267563527255717,-0.215403236526638),.UNSPECIFIED.); #45=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2239,#2240,#2241,#2242,#2243,#2244, #2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253,#2254,#2255,#2256, #2257,#2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265,#2266,#2267,#2268, #2269),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,2,2,2,2,2,2,2,2,4),(-0.215403236526638, -0.163242945797558,-0.111082655068479,-0.0555413275342394,0.,0.0749407336291809, 0.149881467258362,0.222701928764084,0.295522390269807,0.368342851775529, 0.441163313281252,0.516104046910432,0.591044780539613,0.646586108073853, 0.68269512120689),.UNSPECIFIED.); #46=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2304,#2305,#2306,#2307,#2308,#2309, #2310,#2311,#2312,#2313,#2314,#2315,#2316,#2317,#2318,#2319), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.618360110457502,0.644241267398977, 0.657859378446983,0.665718668334917,0.672456142039227,0.680658942520863, 0.696269966634905,0.718382755729775),.UNSPECIFIED.); #47=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2320,#2321,#2322,#2323,#2324,#2325, #2326,#2327,#2328,#2329,#2330,#2331,#2332,#2333,#2334,#2335), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.618360110456761,0.64424126739823, 0.657859378446235,0.665718668334162,0.672456142038463,0.680658942520087, 0.6962699666341,0.718382755728937),.UNSPECIFIED.); #48=SPHERICAL_SURFACE('',#1422,9.75); #49=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#2486,#52); #50=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#2487,#53); #51=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#2488,#54); #52=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#58),#2465); #53=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#59),#2466); #54=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#60),#2467); #55=STYLED_ITEM('',(#1303),#58); #56=STYLED_ITEM('',(#1303),#59); #57=STYLED_ITEM('',(#1304),#60); #58=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper8',#1266); #59=MANIFOLD_SOLID_BREP('Volumenk\X\F6rper8',#1267); #60=MANIFOLD_SOLID_BREP('Solid1',#1268); #61=FACE_BOUND('',#207,.T.); #62=FACE_BOUND('',#208,.T.); #63=FACE_BOUND('',#209,.T.); #64=FACE_BOUND('',#210,.T.); #65=FACE_BOUND('',#211,.T.); #66=FACE_BOUND('',#212,.T.); #67=FACE_BOUND('',#225,.T.); #68=FACE_BOUND('',#226,.T.); #69=FACE_BOUND('',#227,.T.); #70=FACE_BOUND('',#228,.T.); #71=FACE_BOUND('',#229,.T.); #72=FACE_BOUND('',#230,.T.); #73=FACE_BOUND('',#237,.T.); #74=FACE_BOUND('',#238,.T.); #75=FACE_BOUND('',#241,.T.); #76=FACE_BOUND('',#244,.T.); #77=FACE_BOUND('',#245,.T.); #78=FACE_BOUND('',#246,.T.); #79=FACE_BOUND('',#247,.T.); #80=FACE_BOUND('',#248,.T.); #81=FACE_BOUND('',#273,.T.); #82=CONICAL_SURFACE('',#1364,4.75,0.785398163397447); #83=CONICAL_SURFACE('',#1368,4.75,0.785398163397447); #84=CONICAL_SURFACE('',#1372,4.75,0.785398163397447); #85=CONICAL_SURFACE('',#1376,4.75,0.785398163397447); #86=CONICAL_SURFACE('',#1391,4.75,0.785398163397447); #87=CONICAL_SURFACE('',#1395,4.75,0.785398163397447); #88=CONICAL_SURFACE('',#1399,4.75,0.785398163397447); #89=CONICAL_SURFACE('',#1402,4.75,0.785398163397447); #90=CONICAL_SURFACE('',#1413,4.5,0.785398163397448); #91=CONICAL_SURFACE('',#1417,4.5,0.785398163397448); #92=CONICAL_SURFACE('',#1432,4.,1.25663706143592); #93=CONICAL_SURFACE('',#1465,2.1,0.785398163397453); #94=CONICAL_SURFACE('',#1466,2.1,0.785398163397453); #95=CONICAL_SURFACE('',#1467,1664.2794823505,1.02974425867665); #96=CONICAL_SURFACE('',#1471,1.65,0.78539816339745); #97=CONICAL_SURFACE('',#1475,1.65,0.78539816339745); #98=CONICAL_SURFACE('',#1477,2.25461999999999,0.785398163397448); #99=CONICAL_SURFACE('',#1491,0.866025403784438,1.0471975511966); #100=CONICAL_SURFACE('',#1498,3.6075,0.785398163397447); #101=PLANE('',#1363); #102=PLANE('',#1367); #103=PLANE('',#1371); #104=PLANE('',#1375); #105=PLANE('',#1381); #106=PLANE('',#1384); #107=PLANE('',#1385); #108=PLANE('',#1390); #109=PLANE('',#1394); #110=PLANE('',#1398); #111=PLANE('',#1401); #112=PLANE('',#1405); #113=PLANE('',#1407); #114=PLANE('',#1412); #115=PLANE('',#1443); #116=PLANE('',#1452); #117=PLANE('',#1484); #118=PLANE('',#1485); #119=PLANE('',#1486); #120=PLANE('',#1487); #121=PLANE('',#1488); #122=PLANE('',#1489); #123=PLANE('',#1490); #124=PLANE('',#1492); #125=FACE_OUTER_BOUND('',#190,.T.); #126=FACE_OUTER_BOUND('',#191,.T.); #127=FACE_OUTER_BOUND('',#192,.T.); #128=FACE_OUTER_BOUND('',#193,.T.); #129=FACE_OUTER_BOUND('',#194,.T.); #130=FACE_OUTER_BOUND('',#195,.T.); #131=FACE_OUTER_BOUND('',#196,.T.); #132=FACE_OUTER_BOUND('',#197,.T.); #133=FACE_OUTER_BOUND('',#198,.T.); #134=FACE_OUTER_BOUND('',#199,.T.); #135=FACE_OUTER_BOUND('',#200,.T.); #136=FACE_OUTER_BOUND('',#201,.T.); #137=FACE_OUTER_BOUND('',#202,.T.); #138=FACE_OUTER_BOUND('',#203,.T.); #139=FACE_OUTER_BOUND('',#204,.T.); #140=FACE_OUTER_BOUND('',#205,.T.); #141=FACE_OUTER_BOUND('',#206,.T.); #142=FACE_OUTER_BOUND('',#213,.T.); #143=FACE_OUTER_BOUND('',#214,.T.); #144=FACE_OUTER_BOUND('',#215,.T.); #145=FACE_OUTER_BOUND('',#216,.T.); #146=FACE_OUTER_BOUND('',#217,.T.); #147=FACE_OUTER_BOUND('',#218,.T.); #148=FACE_OUTER_BOUND('',#219,.T.); #149=FACE_OUTER_BOUND('',#220,.T.); #150=FACE_OUTER_BOUND('',#221,.T.); #151=FACE_OUTER_BOUND('',#222,.T.); #152=FACE_OUTER_BOUND('',#223,.T.); #153=FACE_OUTER_BOUND('',#224,.T.); #154=FACE_OUTER_BOUND('',#231,.T.); #155=FACE_OUTER_BOUND('',#232,.T.); #156=FACE_OUTER_BOUND('',#233,.T.); #157=FACE_OUTER_BOUND('',#234,.T.); #158=FACE_OUTER_BOUND('',#235,.T.); #159=FACE_OUTER_BOUND('',#236,.T.); #160=FACE_OUTER_BOUND('',#239,.T.); #161=FACE_OUTER_BOUND('',#240,.T.); #162=FACE_OUTER_BOUND('',#242,.T.); #163=FACE_OUTER_BOUND('',#243,.T.); #164=FACE_OUTER_BOUND('',#249,.T.); #165=FACE_OUTER_BOUND('',#250,.T.); #166=FACE_OUTER_BOUND('',#251,.T.); #167=FACE_OUTER_BOUND('',#252,.T.); #168=FACE_OUTER_BOUND('',#253,.T.); #169=FACE_OUTER_BOUND('',#254,.T.); #170=FACE_OUTER_BOUND('',#255,.T.); #171=FACE_OUTER_BOUND('',#256,.T.); #172=FACE_OUTER_BOUND('',#257,.T.); #173=FACE_OUTER_BOUND('',#258,.T.); #174=FACE_OUTER_BOUND('',#259,.T.); #175=FACE_OUTER_BOUND('',#260,.T.); #176=FACE_OUTER_BOUND('',#261,.T.); #177=FACE_OUTER_BOUND('',#262,.T.); #178=FACE_OUTER_BOUND('',#263,.T.); #179=FACE_OUTER_BOUND('',#264,.T.); #180=FACE_OUTER_BOUND('',#265,.T.); #181=FACE_OUTER_BOUND('',#266,.T.); #182=FACE_OUTER_BOUND('',#267,.T.); #183=FACE_OUTER_BOUND('',#268,.T.); #184=FACE_OUTER_BOUND('',#269,.T.); #185=FACE_OUTER_BOUND('',#270,.T.); #186=FACE_OUTER_BOUND('',#271,.T.); #187=FACE_OUTER_BOUND('',#272,.T.); #188=FACE_OUTER_BOUND('',#274,.T.); #189=FACE_OUTER_BOUND('',#275,.T.); #190=EDGE_LOOP('',(#821,#822,#823,#824,#825)); #191=EDGE_LOOP('',(#826,#827,#828,#829,#830)); #192=EDGE_LOOP('',(#831,#832,#833,#834,#835)); #193=EDGE_LOOP('',(#836,#837,#838,#839,#840)); #194=EDGE_LOOP('',(#841,#842,#843,#844)); #195=EDGE_LOOP('',(#845,#846,#847,#848)); #196=EDGE_LOOP('',(#849,#850,#851,#852)); #197=EDGE_LOOP('',(#853,#854,#855,#856)); #198=EDGE_LOOP('',(#857,#858,#859,#860)); #199=EDGE_LOOP('',(#861,#862,#863,#864)); #200=EDGE_LOOP('',(#865,#866,#867,#868)); #201=EDGE_LOOP('',(#869,#870,#871,#872)); #202=EDGE_LOOP('',(#873,#874,#875,#876)); #203=EDGE_LOOP('',(#877,#878,#879,#880)); #204=EDGE_LOOP('',(#881,#882,#883,#884)); #205=EDGE_LOOP('',(#885,#886,#887,#888)); #206=EDGE_LOOP('',(#889,#890,#891,#892,#893,#894,#895,#896)); #207=EDGE_LOOP('',(#897)); #208=EDGE_LOOP('',(#898)); #209=EDGE_LOOP('',(#899)); #210=EDGE_LOOP('',(#900)); #211=EDGE_LOOP('',(#901,#902)); #212=EDGE_LOOP('',(#903,#904)); #213=EDGE_LOOP('',(#905,#906,#907,#908)); #214=EDGE_LOOP('',(#909,#910,#911,#912)); #215=EDGE_LOOP('',(#913,#914,#915,#916)); #216=EDGE_LOOP('',(#917,#918,#919,#920)); #217=EDGE_LOOP('',(#921,#922,#923,#924)); #218=EDGE_LOOP('',(#925,#926,#927,#928)); #219=EDGE_LOOP('',(#929,#930,#931,#932)); #220=EDGE_LOOP('',(#933,#934,#935,#936)); #221=EDGE_LOOP('',(#937,#938,#939,#940)); #222=EDGE_LOOP('',(#941,#942,#943,#944)); #223=EDGE_LOOP('',(#945,#946,#947,#948)); #224=EDGE_LOOP('',(#949,#950,#951,#952,#953,#954,#955,#956)); #225=EDGE_LOOP('',(#957,#958)); #226=EDGE_LOOP('',(#959,#960)); #227=EDGE_LOOP('',(#961,#962)); #228=EDGE_LOOP('',(#963,#964)); #229=EDGE_LOOP('',(#965,#966)); #230=EDGE_LOOP('',(#967,#968)); #231=EDGE_LOOP('',(#969,#970,#971,#972)); #232=EDGE_LOOP('',(#973,#974,#975,#976,#977,#978)); #233=EDGE_LOOP('',(#979,#980,#981,#982,#983,#984)); #234=EDGE_LOOP('',(#985,#986,#987,#988,#989,#990)); #235=EDGE_LOOP('',(#991,#992,#993,#994,#995,#996)); #236=EDGE_LOOP('',(#997,#998)); #237=EDGE_LOOP('',(#999,#1000)); #238=EDGE_LOOP('',(#1001)); #239=EDGE_LOOP('',(#1002,#1003,#1004,#1005,#1006,#1007)); #240=EDGE_LOOP('',(#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016, #1017,#1018,#1019,#1020,#1021,#1022,#1023)); #241=EDGE_LOOP('',(#1024,#1025)); #242=EDGE_LOOP('',(#1026,#1027,#1028,#1029,#1030,#1031)); #243=EDGE_LOOP('',(#1032,#1033)); #244=EDGE_LOOP('',(#1034)); #245=EDGE_LOOP('',(#1035)); #246=EDGE_LOOP('',(#1036,#1037)); #247=EDGE_LOOP('',(#1038,#1039)); #248=EDGE_LOOP('',(#1040,#1041)); #249=EDGE_LOOP('',(#1042)); #250=EDGE_LOOP('',(#1043,#1044,#1045,#1046,#1047)); #251=EDGE_LOOP('',(#1048,#1049,#1050,#1051,#1052,#1053)); #252=EDGE_LOOP('',(#1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062, #1063)); #253=EDGE_LOOP('',(#1064,#1065,#1066,#1067,#1068,#1069,#1070)); #254=EDGE_LOOP('',(#1071,#1072,#1073,#1074,#1075,#1076,#1077)); #255=EDGE_LOOP('',(#1078,#1079,#1080,#1081,#1082,#1083)); #256=EDGE_LOOP('',(#1084,#1085,#1086,#1087,#1088,#1089)); #257=EDGE_LOOP('',(#1090,#1091,#1092,#1093)); #258=EDGE_LOOP('',(#1094,#1095,#1096,#1097,#1098,#1099,#1100)); #259=EDGE_LOOP('',(#1101,#1102,#1103,#1104,#1105)); #260=EDGE_LOOP('',(#1106,#1107,#1108,#1109,#1110,#1111)); #261=EDGE_LOOP('',(#1112,#1113,#1114,#1115,#1116)); #262=EDGE_LOOP('',(#1117,#1118,#1119,#1120,#1121)); #263=EDGE_LOOP('',(#1122,#1123,#1124,#1125,#1126,#1127)); #264=EDGE_LOOP('',(#1128)); #265=EDGE_LOOP('',(#1129,#1130,#1131,#1132,#1133)); #266=EDGE_LOOP('',(#1134,#1135,#1136,#1137)); #267=EDGE_LOOP('',(#1138,#1139,#1140,#1141)); #268=EDGE_LOOP('',(#1142,#1143,#1144,#1145)); #269=EDGE_LOOP('',(#1146,#1147,#1148,#1149)); #270=EDGE_LOOP('',(#1150,#1151,#1152,#1153)); #271=EDGE_LOOP('',(#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161,#1162)); #272=EDGE_LOOP('',(#1163,#1164)); #273=EDGE_LOOP('',(#1165,#1166,#1167,#1168,#1169,#1170)); #274=EDGE_LOOP('',(#1171,#1172,#1173,#1174,#1175,#1176)); #275=EDGE_LOOP('',(#1177,#1178,#1179,#1180,#1181,#1182)); #276=LINE('',#1905,#354); #277=LINE('',#1913,#355); #278=LINE('',#1921,#356); #279=LINE('',#1929,#357); #280=LINE('',#1934,#358); #281=LINE('',#1936,#359); #282=LINE('',#1938,#360); #283=LINE('',#1939,#361); #284=LINE('',#1944,#362); #285=LINE('',#1949,#363); #286=LINE('',#1950,#364); #287=LINE('',#1951,#365); #288=LINE('',#1956,#366); #289=LINE('',#1961,#367); #290=LINE('',#1962,#368); #291=LINE('',#1963,#369); #292=LINE('',#1968,#370); #293=LINE('',#1973,#371); #294=LINE('',#1974,#372); #295=LINE('',#1975,#373); #296=LINE('',#1981,#374); #297=LINE('',#1984,#375); #298=LINE('',#1987,#376); #299=LINE('',#1988,#377); #300=LINE('',#1991,#378); #301=LINE('',#1995,#379); #302=LINE('',#1996,#380); #303=LINE('',#2008,#381); #304=LINE('',#2010,#382); #305=LINE('',#2011,#383); #306=LINE('',#2016,#384); #307=LINE('',#2021,#385); #308=LINE('',#2022,#386); #309=LINE('',#2023,#387); #310=LINE('',#2028,#388); #311=LINE('',#2032,#389); #312=LINE('',#2033,#390); #313=LINE('',#2034,#391); #314=LINE('',#2037,#392); #315=LINE('',#2041,#393); #316=LINE('',#2042,#394); #317=LINE('',#2046,#395); #318=LINE('',#2047,#396); #319=LINE('',#2049,#397); #320=LINE('',#2063,#398); #321=LINE('',#2068,#399); #322=LINE('',#2071,#400); #323=LINE('',#2076,#401); #324=LINE('',#2093,#402); #325=LINE('',#2102,#403); #326=LINE('',#2129,#404); #327=LINE('',#2276,#405); #328=LINE('',#2338,#406); #329=LINE('',#2344,#407); #330=LINE('',#2349,#408); #331=LINE('',#2351,#409); #332=LINE('',#2354,#410); #333=LINE('',#2360,#411); #334=LINE('',#2362,#412); #335=LINE('',#2367,#413); #336=LINE('',#2370,#414); #337=LINE('',#2376,#415); #338=LINE('',#2382,#416); #339=LINE('',#2394,#417); #340=LINE('',#2396,#418); #341=LINE('',#2398,#419); #342=LINE('',#2408,#420); #343=LINE('',#2409,#421); #344=LINE('',#2416,#422); #345=LINE('',#2417,#423); #346=LINE('',#2424,#424); #347=LINE('',#2425,#425); #348=LINE('',#2432,#426); #349=LINE('',#2433,#427); #350=LINE('',#2438,#428); #351=LINE('',#2441,#429); #352=LINE('',#2452,#430); #353=LINE('',#2454,#431); #354=VECTOR('',#1513,2.25); #355=VECTOR('',#1522,2.25); #356=VECTOR('',#1531,2.25); #357=VECTOR('',#1540,2.25); #358=VECTOR('',#1545,10.); #359=VECTOR('',#1546,10.); #360=VECTOR('',#1547,10.); #361=VECTOR('',#1548,10.); #362=VECTOR('',#1553,10.); #363=VECTOR('',#1558,10.); #364=VECTOR('',#1559,10.); #365=VECTOR('',#1560,10.); #366=VECTOR('',#1565,10.); #367=VECTOR('',#1570,10.); #368=VECTOR('',#1571,10.); #369=VECTOR('',#1572,10.); #370=VECTOR('',#1577,10.); #371=VECTOR('',#1582,10.); #372=VECTOR('',#1583,10.); #373=VECTOR('',#1584,10.); #374=VECTOR('',#1593,10.); #375=VECTOR('',#1596,10.); #376=VECTOR('',#1599,10.); #377=VECTOR('',#1600,10.); #378=VECTOR('',#1603,10.); #379=VECTOR('',#1608,10.); #380=VECTOR('',#1609,10.); #381=VECTOR('',#1622,10.); #382=VECTOR('',#1623,10.); #383=VECTOR('',#1624,10.); #384=VECTOR('',#1629,10.); #385=VECTOR('',#1634,10.); #386=VECTOR('',#1635,10.); #387=VECTOR('',#1636,10.); #388=VECTOR('',#1641,10.); #389=VECTOR('',#1646,10.); #390=VECTOR('',#1647,10.); #391=VECTOR('',#1648,10.); #392=VECTOR('',#1651,10.); #393=VECTOR('',#1656,10.); #394=VECTOR('',#1657,10.); #395=VECTOR('',#1664,10.); #396=VECTOR('',#1665,10.); #397=VECTOR('',#1668,10.); #398=VECTOR('',#1685,4.5); #399=VECTOR('',#1692,2.75); #400=VECTOR('',#1695,4.5); #401=VECTOR('',#1702,2.75); #402=VECTOR('',#1719,4.); #403=VECTOR('',#1730,4.); #404=VECTOR('',#1731,4.); #405=VECTOR('',#1746,9.9); #406=VECTOR('',#1785,2.1); #407=VECTOR('',#1792,2.1); #408=VECTOR('',#1799,2.1); #409=VECTOR('',#1802,2.1); #410=VECTOR('',#1805,1664.2794823505); #411=VECTOR('',#1812,1.65); #412=VECTOR('',#1815,1.65); #413=VECTOR('',#1820,1.65); #414=VECTOR('',#1825,1.65); #415=VECTOR('',#1832,2.25461999999999); #416=VECTOR('',#1839,2.49999999999999); #417=VECTOR('',#1848,10.); #418=VECTOR('',#1849,10.); #419=VECTOR('',#1850,10.); #420=VECTOR('',#1853,10.); #421=VECTOR('',#1854,10.); #422=VECTOR('',#1857,10.); #423=VECTOR('',#1858,10.); #424=VECTOR('',#1861,10.); #425=VECTOR('',#1862,10.); #426=VECTOR('',#1865,10.); #427=VECTOR('',#1866,10.); #428=VECTOR('',#1869,10.); #429=VECTOR('',#1872,0.866025403784438); #430=VECTOR('',#1885,4.71500000000001); #431=VECTOR('',#1888,3.6075); #432=CIRCLE('',#1348,2.25); #433=CIRCLE('',#1349,2.25); #434=CIRCLE('',#1350,2.25); #435=CIRCLE('',#1352,2.25); #436=CIRCLE('',#1353,2.25); #437=CIRCLE('',#1354,2.25); #438=CIRCLE('',#1356,2.25); #439=CIRCLE('',#1357,2.25); #440=CIRCLE('',#1358,2.25); #441=CIRCLE('',#1360,2.25); #442=CIRCLE('',#1361,2.25); #443=CIRCLE('',#1362,2.25); #444=CIRCLE('',#1365,5.); #445=CIRCLE('',#1366,4.5); #446=CIRCLE('',#1369,5.); #447=CIRCLE('',#1370,4.5); #448=CIRCLE('',#1373,5.); #449=CIRCLE('',#1374,4.5); #450=CIRCLE('',#1377,5.); #451=CIRCLE('',#1378,4.5); #452=CIRCLE('',#1380,5.); #453=CIRCLE('',#1383,5.); #454=CIRCLE('',#1386,2.75); #455=CIRCLE('',#1387,2.75); #456=CIRCLE('',#1388,2.75); #457=CIRCLE('',#1389,2.75); #458=CIRCLE('',#1392,5.); #459=CIRCLE('',#1393,4.5); #460=CIRCLE('',#1396,5.); #461=CIRCLE('',#1397,4.5); #462=CIRCLE('',#1400,4.5); #463=CIRCLE('',#1403,4.5); #464=CIRCLE('',#1408,6.25); #465=CIRCLE('',#1409,6.25); #466=CIRCLE('',#1410,6.25); #467=CIRCLE('',#1411,6.25); #468=CIRCLE('',#1414,2.75); #469=CIRCLE('',#1415,2.75); #470=CIRCLE('',#1418,2.75); #471=CIRCLE('',#1419,2.75); #472=CIRCLE('',#1423,9.75); #473=CIRCLE('',#1424,4.43601895734597); #474=CIRCLE('',#1425,4.43601895734597); #475=CIRCLE('',#1426,3.75); #476=CIRCLE('',#1428,4.); #477=CIRCLE('',#1429,4.); #478=CIRCLE('',#1430,4.); #479=CIRCLE('',#1431,4.); #480=CIRCLE('',#1433,9.55450849718747); #481=CIRCLE('',#1434,4.55278640450005); #482=CIRCLE('',#1435,4.55278640450005); #483=CIRCLE('',#1436,4.55278640450005); #484=CIRCLE('',#1437,4.55278640450005); #485=CIRCLE('',#1439,9.9); #486=CIRCLE('',#1440,9.9); #487=CIRCLE('',#1441,9.9); #488=CIRCLE('',#1442,9.9); #489=CIRCLE('',#1444,2.5); #490=CIRCLE('',#1445,2.5); #491=CIRCLE('',#1446,1.66427948235051); #492=CIRCLE('',#1447,1.66427948235051); #493=CIRCLE('',#1448,3.00000000000001); #494=CIRCLE('',#1449,3.00000000000001); #495=CIRCLE('',#1450,3.00000000000001); #496=CIRCLE('',#1451,3.00000000000001); #497=CIRCLE('',#1454,0.5); #498=CIRCLE('',#1456,0.8); #499=CIRCLE('',#1458,0.8); #500=CIRCLE('',#1460,2.1); #501=CIRCLE('',#1461,2.1); #502=CIRCLE('',#1463,2.1); #503=CIRCLE('',#1464,2.1); #504=CIRCLE('',#1469,1.65); #505=CIRCLE('',#1470,1.65); #506=CIRCLE('',#1473,1.65); #507=CIRCLE('',#1474,1.65); #508=CIRCLE('',#1478,2.00923999999999); #509=CIRCLE('',#1479,2.49999999999999); #510=CIRCLE('',#1480,2.49999999999999); #511=CIRCLE('',#1482,2.5); #512=CIRCLE('',#1483,2.5); #513=CIRCLE('',#1493,4.71500000000001); #514=CIRCLE('',#1494,4.71500000000001); #515=CIRCLE('',#1496,4.71500000000001); #516=CIRCLE('',#1497,4.71500000000001); #517=VERTEX_POINT('',#1900); #518=VERTEX_POINT('',#1901); #519=VERTEX_POINT('',#1904); #520=VERTEX_POINT('',#1908); #521=VERTEX_POINT('',#1909); #522=VERTEX_POINT('',#1912); #523=VERTEX_POINT('',#1916); #524=VERTEX_POINT('',#1917); #525=VERTEX_POINT('',#1920); #526=VERTEX_POINT('',#1924); #527=VERTEX_POINT('',#1925); #528=VERTEX_POINT('',#1928); #529=VERTEX_POINT('',#1932); #530=VERTEX_POINT('',#1933); #531=VERTEX_POINT('',#1935); #532=VERTEX_POINT('',#1937); #533=VERTEX_POINT('',#1941); #534=VERTEX_POINT('',#1943); #535=VERTEX_POINT('',#1947); #536=VERTEX_POINT('',#1948); #537=VERTEX_POINT('',#1953); #538=VERTEX_POINT('',#1955); #539=VERTEX_POINT('',#1959); #540=VERTEX_POINT('',#1960); #541=VERTEX_POINT('',#1965); #542=VERTEX_POINT('',#1967); #543=VERTEX_POINT('',#1971); #544=VERTEX_POINT('',#1972); #545=VERTEX_POINT('',#1980); #546=VERTEX_POINT('',#1982); #547=VERTEX_POINT('',#1986); #548=VERTEX_POINT('',#1990); #549=VERTEX_POINT('',#1994); #550=VERTEX_POINT('',#1998); #551=VERTEX_POINT('',#1999); #552=VERTEX_POINT('',#2002); #553=VERTEX_POINT('',#2003); #554=VERTEX_POINT('',#2007); #555=VERTEX_POINT('',#2009); #556=VERTEX_POINT('',#2013); #557=VERTEX_POINT('',#2015); #558=VERTEX_POINT('',#2019); #559=VERTEX_POINT('',#2020); #560=VERTEX_POINT('',#2025); #561=VERTEX_POINT('',#2027); #562=VERTEX_POINT('',#2031); #563=VERTEX_POINT('',#2036); #564=VERTEX_POINT('',#2040); #565=VERTEX_POINT('',#2052); #566=VERTEX_POINT('',#2053); #567=VERTEX_POINT('',#2056); #568=VERTEX_POINT('',#2057); #569=VERTEX_POINT('',#2062); #570=VERTEX_POINT('',#2064); #571=VERTEX_POINT('',#2070); #572=VERTEX_POINT('',#2072); #573=VERTEX_POINT('',#2079); #574=VERTEX_POINT('',#2080); #575=VERTEX_POINT('',#2082); #576=VERTEX_POINT('',#2083); #577=VERTEX_POINT('',#2086); #578=VERTEX_POINT('',#2089); #579=VERTEX_POINT('',#2090); #580=VERTEX_POINT('',#2092); #581=VERTEX_POINT('',#2094); #582=VERTEX_POINT('',#2099); #583=VERTEX_POINT('',#2101); #584=VERTEX_POINT('',#2103); #585=VERTEX_POINT('',#2110); #586=VERTEX_POINT('',#2128); #587=VERTEX_POINT('',#2130); #588=VERTEX_POINT('',#2132); #589=VERTEX_POINT('',#2144); #590=VERTEX_POINT('',#2169); #591=VERTEX_POINT('',#2171); #592=VERTEX_POINT('',#2173); #593=VERTEX_POINT('',#2199); #594=VERTEX_POINT('',#2229); #595=VERTEX_POINT('',#2230); #596=VERTEX_POINT('',#2271); #597=VERTEX_POINT('',#2272); #598=VERTEX_POINT('',#2275); #599=VERTEX_POINT('',#2277); #600=VERTEX_POINT('',#2281); #601=VERTEX_POINT('',#2283); #602=VERTEX_POINT('',#2285); #603=VERTEX_POINT('',#2286); #604=VERTEX_POINT('',#2289); #605=VERTEX_POINT('',#2290); #606=VERTEX_POINT('',#2293); #607=VERTEX_POINT('',#2294); #608=VERTEX_POINT('',#2337); #609=VERTEX_POINT('',#2339); #610=VERTEX_POINT('',#2343); #611=VERTEX_POINT('',#2345); #612=VERTEX_POINT('',#2353); #613=VERTEX_POINT('',#2356); #614=VERTEX_POINT('',#2357); #615=VERTEX_POINT('',#2364); #616=VERTEX_POINT('',#2365); #617=VERTEX_POINT('',#2373); #618=VERTEX_POINT('',#2375); #619=VERTEX_POINT('',#2377); #620=VERTEX_POINT('',#2381); #621=VERTEX_POINT('',#2383); #622=VERTEX_POINT('',#2388); #623=VERTEX_POINT('',#2389); #624=VERTEX_POINT('',#2393); #625=VERTEX_POINT('',#2395); #626=VERTEX_POINT('',#2397); #627=VERTEX_POINT('',#2403); #628=VERTEX_POINT('',#2407); #629=VERTEX_POINT('',#2411); #630=VERTEX_POINT('',#2415); #631=VERTEX_POINT('',#2419); #632=VERTEX_POINT('',#2423); #633=VERTEX_POINT('',#2427); #634=VERTEX_POINT('',#2431); #635=VERTEX_POINT('',#2440); #636=VERTEX_POINT('',#2443); #637=VERTEX_POINT('',#2444); #638=VERTEX_POINT('',#2448); #639=VERTEX_POINT('',#2449); #640=EDGE_CURVE('',#517,#518,#432,.T.); #641=EDGE_CURVE('',#518,#517,#433,.T.); #642=EDGE_CURVE('',#518,#519,#276,.T.); #643=EDGE_CURVE('',#519,#519,#434,.T.); #644=EDGE_CURVE('',#520,#521,#435,.T.); #645=EDGE_CURVE('',#521,#520,#436,.T.); #646=EDGE_CURVE('',#521,#522,#277,.T.); #647=EDGE_CURVE('',#522,#522,#437,.T.); #648=EDGE_CURVE('',#523,#524,#438,.T.); #649=EDGE_CURVE('',#524,#523,#439,.T.); #650=EDGE_CURVE('',#524,#525,#278,.T.); #651=EDGE_CURVE('',#525,#525,#440,.T.); #652=EDGE_CURVE('',#526,#527,#441,.T.); #653=EDGE_CURVE('',#527,#526,#442,.T.); #654=EDGE_CURVE('',#527,#528,#279,.T.); #655=EDGE_CURVE('',#528,#528,#443,.T.); #656=EDGE_CURVE('',#529,#530,#280,.T.); #657=EDGE_CURVE('',#530,#531,#281,.T.); #658=EDGE_CURVE('',#531,#532,#282,.T.); #659=EDGE_CURVE('',#532,#529,#283,.T.); #660=EDGE_CURVE('',#529,#533,#444,.T.); #661=EDGE_CURVE('',#533,#534,#284,.T.); #662=EDGE_CURVE('',#534,#530,#445,.T.); #663=EDGE_CURVE('',#535,#536,#285,.T.); #664=EDGE_CURVE('',#536,#534,#286,.T.); #665=EDGE_CURVE('',#533,#535,#287,.T.); #666=EDGE_CURVE('',#535,#537,#446,.T.); #667=EDGE_CURVE('',#537,#538,#288,.T.); #668=EDGE_CURVE('',#538,#536,#447,.T.); #669=EDGE_CURVE('',#539,#540,#289,.T.); #670=EDGE_CURVE('',#540,#538,#290,.T.); #671=EDGE_CURVE('',#537,#539,#291,.T.); #672=EDGE_CURVE('',#539,#541,#448,.T.); #673=EDGE_CURVE('',#541,#542,#292,.T.); #674=EDGE_CURVE('',#542,#540,#449,.T.); #675=EDGE_CURVE('',#543,#544,#293,.T.); #676=EDGE_CURVE('',#544,#542,#294,.T.); #677=EDGE_CURVE('',#541,#543,#295,.T.); #678=EDGE_CURVE('',#543,#532,#450,.T.); #679=EDGE_CURVE('',#531,#544,#451,.T.); #680=EDGE_CURVE('',#535,#545,#296,.T.); #681=EDGE_CURVE('',#546,#545,#452,.T.); #682=EDGE_CURVE('',#546,#537,#297,.T.); #683=EDGE_CURVE('',#547,#533,#298,.T.); #684=EDGE_CURVE('',#545,#547,#299,.T.); #685=EDGE_CURVE('',#529,#548,#300,.T.); #686=EDGE_CURVE('',#547,#548,#453,.T.); #687=EDGE_CURVE('',#549,#532,#301,.T.); #688=EDGE_CURVE('',#548,#549,#302,.T.); #689=EDGE_CURVE('',#550,#551,#454,.T.); #690=EDGE_CURVE('',#551,#550,#455,.T.); #691=EDGE_CURVE('',#552,#553,#456,.T.); #692=EDGE_CURVE('',#553,#552,#457,.T.); #693=EDGE_CURVE('',#549,#554,#303,.T.); #694=EDGE_CURVE('',#554,#555,#304,.T.); #695=EDGE_CURVE('',#555,#548,#305,.T.); #696=EDGE_CURVE('',#549,#556,#458,.T.); #697=EDGE_CURVE('',#556,#557,#306,.T.); #698=EDGE_CURVE('',#557,#554,#459,.T.); #699=EDGE_CURVE('',#558,#559,#307,.T.); #700=EDGE_CURVE('',#559,#557,#308,.T.); #701=EDGE_CURVE('',#556,#558,#309,.T.); #702=EDGE_CURVE('',#558,#560,#460,.T.); #703=EDGE_CURVE('',#560,#561,#310,.T.); #704=EDGE_CURVE('',#561,#559,#461,.T.); #705=EDGE_CURVE('',#546,#562,#311,.T.); #706=EDGE_CURVE('',#562,#561,#312,.T.); #707=EDGE_CURVE('',#560,#546,#313,.T.); #708=EDGE_CURVE('',#545,#563,#314,.T.); #709=EDGE_CURVE('',#563,#562,#462,.T.); #710=EDGE_CURVE('',#547,#564,#315,.T.); #711=EDGE_CURVE('',#564,#563,#316,.T.); #712=EDGE_CURVE('',#555,#564,#463,.T.); #713=EDGE_CURVE('',#539,#560,#317,.T.); #714=EDGE_CURVE('',#558,#541,#318,.T.); #715=EDGE_CURVE('',#543,#556,#319,.T.); #716=EDGE_CURVE('',#565,#566,#464,.T.); #717=EDGE_CURVE('',#566,#565,#465,.T.); #718=EDGE_CURVE('',#567,#568,#466,.T.); #719=EDGE_CURVE('',#568,#567,#467,.T.); #720=EDGE_CURVE('',#568,#569,#320,.T.); #721=EDGE_CURVE('',#570,#569,#468,.T.); #722=EDGE_CURVE('',#569,#570,#469,.T.); #723=EDGE_CURVE('',#569,#553,#321,.T.); #724=EDGE_CURVE('',#566,#571,#322,.T.); #725=EDGE_CURVE('',#572,#571,#470,.T.); #726=EDGE_CURVE('',#571,#572,#471,.T.); #727=EDGE_CURVE('',#571,#551,#323,.T.); #728=EDGE_CURVE('',#573,#574,#472,.T.); #729=EDGE_CURVE('',#575,#576,#473,.T.); #730=EDGE_CURVE('',#576,#575,#474,.T.); #731=EDGE_CURVE('',#577,#577,#475,.T.); #732=EDGE_CURVE('',#578,#579,#476,.T.); #733=EDGE_CURVE('',#579,#580,#324,.T.); #734=EDGE_CURVE('',#580,#581,#477,.T.); #735=EDGE_CURVE('',#581,#580,#478,.T.); #736=EDGE_CURVE('',#579,#578,#479,.T.); #737=EDGE_CURVE('',#582,#582,#480,.T.); #738=EDGE_CURVE('',#582,#583,#325,.T.); #739=EDGE_CURVE('',#584,#583,#37,.T.); #740=EDGE_CURVE('',#585,#584,#38,.T.); #741=EDGE_CURVE('',#585,#586,#326,.T.); #742=EDGE_CURVE('',#586,#587,#481,.T.); #743=EDGE_CURVE('',#588,#587,#39,.T.); #744=EDGE_CURVE('',#589,#588,#40,.T.); #745=EDGE_CURVE('',#589,#590,#482,.T.); #746=EDGE_CURVE('',#590,#591,#483,.T.); #747=EDGE_CURVE('',#592,#591,#41,.T.); #748=EDGE_CURVE('',#593,#592,#42,.T.); #749=EDGE_CURVE('',#593,#586,#484,.T.); #750=EDGE_CURVE('',#583,#585,#43,.T.); #751=EDGE_CURVE('',#594,#595,#44,.T.); #752=EDGE_CURVE('',#595,#594,#45,.T.); #753=EDGE_CURVE('',#596,#597,#485,.T.); #754=EDGE_CURVE('',#597,#596,#486,.T.); #755=EDGE_CURVE('',#596,#598,#327,.T.); #756=EDGE_CURVE('',#598,#599,#487,.T.); #757=EDGE_CURVE('',#599,#598,#488,.T.); #758=EDGE_CURVE('',#600,#600,#489,.T.); #759=EDGE_CURVE('',#601,#601,#490,.T.); #760=EDGE_CURVE('',#602,#603,#491,.T.); #761=EDGE_CURVE('',#603,#602,#492,.T.); #762=EDGE_CURVE('',#604,#605,#493,.T.); #763=EDGE_CURVE('',#605,#604,#494,.T.); #764=EDGE_CURVE('',#606,#607,#495,.T.); #765=EDGE_CURVE('',#607,#606,#496,.T.); #766=EDGE_CURVE('',#597,#582,#497,.T.); #767=EDGE_CURVE('',#576,#579,#498,.T.); #768=EDGE_CURVE('',#580,#590,#499,.T.); #769=EDGE_CURVE('',#589,#587,#46,.T.); #770=EDGE_CURVE('',#593,#591,#47,.T.); #771=EDGE_CURVE('',#592,#608,#328,.T.); #772=EDGE_CURVE('',#608,#609,#500,.T.); #773=EDGE_CURVE('',#609,#608,#501,.T.); #774=EDGE_CURVE('',#588,#610,#329,.T.); #775=EDGE_CURVE('',#610,#611,#502,.T.); #776=EDGE_CURVE('',#611,#610,#503,.T.); #777=EDGE_CURVE('',#610,#605,#330,.T.); #778=EDGE_CURVE('',#608,#607,#331,.T.); #779=EDGE_CURVE('',#612,#603,#332,.T.); #780=EDGE_CURVE('',#613,#614,#504,.T.); #781=EDGE_CURVE('',#614,#613,#505,.T.); #782=EDGE_CURVE('',#614,#585,#333,.T.); #783=EDGE_CURVE('',#613,#601,#334,.T.); #784=EDGE_CURVE('',#615,#616,#506,.T.); #785=EDGE_CURVE('',#616,#595,#335,.T.); #786=EDGE_CURVE('',#616,#615,#507,.T.); #787=EDGE_CURVE('',#615,#600,#336,.T.); #788=EDGE_CURVE('',#617,#617,#508,.T.); #789=EDGE_CURVE('',#617,#618,#337,.T.); #790=EDGE_CURVE('',#618,#619,#509,.T.); #791=EDGE_CURVE('',#619,#618,#510,.T.); #792=EDGE_CURVE('',#619,#620,#338,.T.); #793=EDGE_CURVE('',#620,#621,#511,.T.); #794=EDGE_CURVE('',#621,#620,#512,.T.); #795=EDGE_CURVE('',#622,#623,#27,.T.); #796=EDGE_CURVE('',#622,#624,#339,.T.); #797=EDGE_CURVE('',#625,#624,#340,.T.); #798=EDGE_CURVE('',#626,#625,#341,.T.); #799=EDGE_CURVE('',#623,#626,#28,.T.); #800=EDGE_CURVE('',#627,#622,#29,.T.); #801=EDGE_CURVE('',#627,#628,#342,.T.); #802=EDGE_CURVE('',#624,#628,#343,.T.); #803=EDGE_CURVE('',#629,#627,#30,.T.); #804=EDGE_CURVE('',#629,#630,#344,.T.); #805=EDGE_CURVE('',#628,#630,#345,.T.); #806=EDGE_CURVE('',#631,#629,#31,.T.); #807=EDGE_CURVE('',#632,#631,#346,.T.); #808=EDGE_CURVE('',#630,#632,#347,.T.); #809=EDGE_CURVE('',#626,#633,#32,.T.); #810=EDGE_CURVE('',#634,#625,#348,.T.); #811=EDGE_CURVE('',#633,#634,#349,.T.); #812=EDGE_CURVE('',#633,#631,#33,.T.); #813=EDGE_CURVE('',#632,#634,#350,.T.); #814=EDGE_CURVE('',#635,#623,#351,.T.); #815=EDGE_CURVE('',#636,#637,#513,.T.); #816=EDGE_CURVE('',#637,#636,#514,.T.); #817=EDGE_CURVE('',#638,#639,#515,.T.); #818=EDGE_CURVE('',#639,#638,#516,.T.); #819=EDGE_CURVE('',#639,#637,#352,.T.); #820=EDGE_CURVE('',#620,#639,#353,.T.); #821=ORIENTED_EDGE('',*,*,#640,.F.); #822=ORIENTED_EDGE('',*,*,#641,.F.); #823=ORIENTED_EDGE('',*,*,#642,.T.); #824=ORIENTED_EDGE('',*,*,#643,.F.); #825=ORIENTED_EDGE('',*,*,#642,.F.); #826=ORIENTED_EDGE('',*,*,#644,.F.); #827=ORIENTED_EDGE('',*,*,#645,.F.); #828=ORIENTED_EDGE('',*,*,#646,.T.); #829=ORIENTED_EDGE('',*,*,#647,.F.); #830=ORIENTED_EDGE('',*,*,#646,.F.); #831=ORIENTED_EDGE('',*,*,#648,.F.); #832=ORIENTED_EDGE('',*,*,#649,.F.); #833=ORIENTED_EDGE('',*,*,#650,.T.); #834=ORIENTED_EDGE('',*,*,#651,.F.); #835=ORIENTED_EDGE('',*,*,#650,.F.); #836=ORIENTED_EDGE('',*,*,#652,.F.); #837=ORIENTED_EDGE('',*,*,#653,.F.); #838=ORIENTED_EDGE('',*,*,#654,.T.); #839=ORIENTED_EDGE('',*,*,#655,.F.); #840=ORIENTED_EDGE('',*,*,#654,.F.); #841=ORIENTED_EDGE('',*,*,#656,.T.); #842=ORIENTED_EDGE('',*,*,#657,.T.); #843=ORIENTED_EDGE('',*,*,#658,.T.); #844=ORIENTED_EDGE('',*,*,#659,.T.); #845=ORIENTED_EDGE('',*,*,#656,.F.); #846=ORIENTED_EDGE('',*,*,#660,.T.); #847=ORIENTED_EDGE('',*,*,#661,.T.); #848=ORIENTED_EDGE('',*,*,#662,.T.); #849=ORIENTED_EDGE('',*,*,#663,.T.); #850=ORIENTED_EDGE('',*,*,#664,.T.); #851=ORIENTED_EDGE('',*,*,#661,.F.); #852=ORIENTED_EDGE('',*,*,#665,.T.); #853=ORIENTED_EDGE('',*,*,#663,.F.); #854=ORIENTED_EDGE('',*,*,#666,.T.); #855=ORIENTED_EDGE('',*,*,#667,.T.); #856=ORIENTED_EDGE('',*,*,#668,.T.); #857=ORIENTED_EDGE('',*,*,#669,.T.); #858=ORIENTED_EDGE('',*,*,#670,.T.); #859=ORIENTED_EDGE('',*,*,#667,.F.); #860=ORIENTED_EDGE('',*,*,#671,.T.); #861=ORIENTED_EDGE('',*,*,#669,.F.); #862=ORIENTED_EDGE('',*,*,#672,.T.); #863=ORIENTED_EDGE('',*,*,#673,.T.); #864=ORIENTED_EDGE('',*,*,#674,.T.); #865=ORIENTED_EDGE('',*,*,#675,.T.); #866=ORIENTED_EDGE('',*,*,#676,.T.); #867=ORIENTED_EDGE('',*,*,#673,.F.); #868=ORIENTED_EDGE('',*,*,#677,.T.); #869=ORIENTED_EDGE('',*,*,#675,.F.); #870=ORIENTED_EDGE('',*,*,#678,.T.); #871=ORIENTED_EDGE('',*,*,#658,.F.); #872=ORIENTED_EDGE('',*,*,#679,.T.); #873=ORIENTED_EDGE('',*,*,#666,.F.); #874=ORIENTED_EDGE('',*,*,#680,.T.); #875=ORIENTED_EDGE('',*,*,#681,.F.); #876=ORIENTED_EDGE('',*,*,#682,.T.); #877=ORIENTED_EDGE('',*,*,#665,.F.); #878=ORIENTED_EDGE('',*,*,#683,.F.); #879=ORIENTED_EDGE('',*,*,#684,.F.); #880=ORIENTED_EDGE('',*,*,#680,.F.); #881=ORIENTED_EDGE('',*,*,#660,.F.); #882=ORIENTED_EDGE('',*,*,#685,.T.); #883=ORIENTED_EDGE('',*,*,#686,.F.); #884=ORIENTED_EDGE('',*,*,#683,.T.); #885=ORIENTED_EDGE('',*,*,#659,.F.); #886=ORIENTED_EDGE('',*,*,#687,.F.); #887=ORIENTED_EDGE('',*,*,#688,.F.); #888=ORIENTED_EDGE('',*,*,#685,.F.); #889=ORIENTED_EDGE('',*,*,#657,.F.); #890=ORIENTED_EDGE('',*,*,#662,.F.); #891=ORIENTED_EDGE('',*,*,#664,.F.); #892=ORIENTED_EDGE('',*,*,#668,.F.); #893=ORIENTED_EDGE('',*,*,#670,.F.); #894=ORIENTED_EDGE('',*,*,#674,.F.); #895=ORIENTED_EDGE('',*,*,#676,.F.); #896=ORIENTED_EDGE('',*,*,#679,.F.); #897=ORIENTED_EDGE('',*,*,#643,.T.); #898=ORIENTED_EDGE('',*,*,#647,.T.); #899=ORIENTED_EDGE('',*,*,#651,.T.); #900=ORIENTED_EDGE('',*,*,#655,.T.); #901=ORIENTED_EDGE('',*,*,#689,.T.); #902=ORIENTED_EDGE('',*,*,#690,.T.); #903=ORIENTED_EDGE('',*,*,#691,.T.); #904=ORIENTED_EDGE('',*,*,#692,.T.); #905=ORIENTED_EDGE('',*,*,#693,.T.); #906=ORIENTED_EDGE('',*,*,#694,.T.); #907=ORIENTED_EDGE('',*,*,#695,.T.); #908=ORIENTED_EDGE('',*,*,#688,.T.); #909=ORIENTED_EDGE('',*,*,#693,.F.); #910=ORIENTED_EDGE('',*,*,#696,.T.); #911=ORIENTED_EDGE('',*,*,#697,.T.); #912=ORIENTED_EDGE('',*,*,#698,.T.); #913=ORIENTED_EDGE('',*,*,#699,.T.); #914=ORIENTED_EDGE('',*,*,#700,.T.); #915=ORIENTED_EDGE('',*,*,#697,.F.); #916=ORIENTED_EDGE('',*,*,#701,.T.); #917=ORIENTED_EDGE('',*,*,#699,.F.); #918=ORIENTED_EDGE('',*,*,#702,.T.); #919=ORIENTED_EDGE('',*,*,#703,.T.); #920=ORIENTED_EDGE('',*,*,#704,.T.); #921=ORIENTED_EDGE('',*,*,#705,.T.); #922=ORIENTED_EDGE('',*,*,#706,.T.); #923=ORIENTED_EDGE('',*,*,#703,.F.); #924=ORIENTED_EDGE('',*,*,#707,.T.); #925=ORIENTED_EDGE('',*,*,#705,.F.); #926=ORIENTED_EDGE('',*,*,#681,.T.); #927=ORIENTED_EDGE('',*,*,#708,.T.); #928=ORIENTED_EDGE('',*,*,#709,.T.); #929=ORIENTED_EDGE('',*,*,#710,.T.); #930=ORIENTED_EDGE('',*,*,#711,.T.); #931=ORIENTED_EDGE('',*,*,#708,.F.); #932=ORIENTED_EDGE('',*,*,#684,.T.); #933=ORIENTED_EDGE('',*,*,#710,.F.); #934=ORIENTED_EDGE('',*,*,#686,.T.); #935=ORIENTED_EDGE('',*,*,#695,.F.); #936=ORIENTED_EDGE('',*,*,#712,.T.); #937=ORIENTED_EDGE('',*,*,#672,.F.); #938=ORIENTED_EDGE('',*,*,#713,.T.); #939=ORIENTED_EDGE('',*,*,#702,.F.); #940=ORIENTED_EDGE('',*,*,#714,.T.); #941=ORIENTED_EDGE('',*,*,#677,.F.); #942=ORIENTED_EDGE('',*,*,#714,.F.); #943=ORIENTED_EDGE('',*,*,#701,.F.); #944=ORIENTED_EDGE('',*,*,#715,.F.); #945=ORIENTED_EDGE('',*,*,#678,.F.); #946=ORIENTED_EDGE('',*,*,#715,.T.); #947=ORIENTED_EDGE('',*,*,#696,.F.); #948=ORIENTED_EDGE('',*,*,#687,.T.); #949=ORIENTED_EDGE('',*,*,#694,.F.); #950=ORIENTED_EDGE('',*,*,#698,.F.); #951=ORIENTED_EDGE('',*,*,#700,.F.); #952=ORIENTED_EDGE('',*,*,#704,.F.); #953=ORIENTED_EDGE('',*,*,#706,.F.); #954=ORIENTED_EDGE('',*,*,#709,.F.); #955=ORIENTED_EDGE('',*,*,#711,.F.); #956=ORIENTED_EDGE('',*,*,#712,.F.); #957=ORIENTED_EDGE('',*,*,#640,.T.); #958=ORIENTED_EDGE('',*,*,#641,.T.); #959=ORIENTED_EDGE('',*,*,#644,.T.); #960=ORIENTED_EDGE('',*,*,#645,.T.); #961=ORIENTED_EDGE('',*,*,#648,.T.); #962=ORIENTED_EDGE('',*,*,#649,.T.); #963=ORIENTED_EDGE('',*,*,#652,.T.); #964=ORIENTED_EDGE('',*,*,#653,.T.); #965=ORIENTED_EDGE('',*,*,#716,.T.); #966=ORIENTED_EDGE('',*,*,#717,.T.); #967=ORIENTED_EDGE('',*,*,#718,.T.); #968=ORIENTED_EDGE('',*,*,#719,.T.); #969=ORIENTED_EDGE('',*,*,#671,.F.); #970=ORIENTED_EDGE('',*,*,#682,.F.); #971=ORIENTED_EDGE('',*,*,#707,.F.); #972=ORIENTED_EDGE('',*,*,#713,.F.); #973=ORIENTED_EDGE('',*,*,#718,.F.); #974=ORIENTED_EDGE('',*,*,#719,.F.); #975=ORIENTED_EDGE('',*,*,#720,.T.); #976=ORIENTED_EDGE('',*,*,#721,.F.); #977=ORIENTED_EDGE('',*,*,#722,.F.); #978=ORIENTED_EDGE('',*,*,#720,.F.); #979=ORIENTED_EDGE('',*,*,#721,.T.); #980=ORIENTED_EDGE('',*,*,#723,.T.); #981=ORIENTED_EDGE('',*,*,#691,.F.); #982=ORIENTED_EDGE('',*,*,#692,.F.); #983=ORIENTED_EDGE('',*,*,#723,.F.); #984=ORIENTED_EDGE('',*,*,#722,.T.); #985=ORIENTED_EDGE('',*,*,#716,.F.); #986=ORIENTED_EDGE('',*,*,#717,.F.); #987=ORIENTED_EDGE('',*,*,#724,.T.); #988=ORIENTED_EDGE('',*,*,#725,.F.); #989=ORIENTED_EDGE('',*,*,#726,.F.); #990=ORIENTED_EDGE('',*,*,#724,.F.); #991=ORIENTED_EDGE('',*,*,#725,.T.); #992=ORIENTED_EDGE('',*,*,#727,.T.); #993=ORIENTED_EDGE('',*,*,#689,.F.); #994=ORIENTED_EDGE('',*,*,#690,.F.); #995=ORIENTED_EDGE('',*,*,#727,.F.); #996=ORIENTED_EDGE('',*,*,#726,.T.); #997=ORIENTED_EDGE('',*,*,#728,.T.); #998=ORIENTED_EDGE('',*,*,#728,.F.); #999=ORIENTED_EDGE('',*,*,#729,.T.); #1000=ORIENTED_EDGE('',*,*,#730,.T.); #1001=ORIENTED_EDGE('',*,*,#731,.F.); #1002=ORIENTED_EDGE('',*,*,#732,.T.); #1003=ORIENTED_EDGE('',*,*,#733,.T.); #1004=ORIENTED_EDGE('',*,*,#734,.T.); #1005=ORIENTED_EDGE('',*,*,#735,.T.); #1006=ORIENTED_EDGE('',*,*,#733,.F.); #1007=ORIENTED_EDGE('',*,*,#736,.T.); #1008=ORIENTED_EDGE('',*,*,#737,.T.); #1009=ORIENTED_EDGE('',*,*,#738,.T.); #1010=ORIENTED_EDGE('',*,*,#739,.F.); #1011=ORIENTED_EDGE('',*,*,#740,.F.); #1012=ORIENTED_EDGE('',*,*,#741,.T.); #1013=ORIENTED_EDGE('',*,*,#742,.T.); #1014=ORIENTED_EDGE('',*,*,#743,.F.); #1015=ORIENTED_EDGE('',*,*,#744,.F.); #1016=ORIENTED_EDGE('',*,*,#745,.T.); #1017=ORIENTED_EDGE('',*,*,#746,.T.); #1018=ORIENTED_EDGE('',*,*,#747,.F.); #1019=ORIENTED_EDGE('',*,*,#748,.F.); #1020=ORIENTED_EDGE('',*,*,#749,.T.); #1021=ORIENTED_EDGE('',*,*,#741,.F.); #1022=ORIENTED_EDGE('',*,*,#750,.F.); #1023=ORIENTED_EDGE('',*,*,#738,.F.); #1024=ORIENTED_EDGE('',*,*,#751,.F.); #1025=ORIENTED_EDGE('',*,*,#752,.F.); #1026=ORIENTED_EDGE('',*,*,#753,.T.); #1027=ORIENTED_EDGE('',*,*,#754,.T.); #1028=ORIENTED_EDGE('',*,*,#755,.T.); #1029=ORIENTED_EDGE('',*,*,#756,.T.); #1030=ORIENTED_EDGE('',*,*,#757,.T.); #1031=ORIENTED_EDGE('',*,*,#755,.F.); #1032=ORIENTED_EDGE('',*,*,#757,.F.); #1033=ORIENTED_EDGE('',*,*,#756,.F.); #1034=ORIENTED_EDGE('',*,*,#758,.F.); #1035=ORIENTED_EDGE('',*,*,#759,.T.); #1036=ORIENTED_EDGE('',*,*,#760,.F.); #1037=ORIENTED_EDGE('',*,*,#761,.F.); #1038=ORIENTED_EDGE('',*,*,#762,.T.); #1039=ORIENTED_EDGE('',*,*,#763,.T.); #1040=ORIENTED_EDGE('',*,*,#764,.T.); #1041=ORIENTED_EDGE('',*,*,#765,.T.); #1042=ORIENTED_EDGE('',*,*,#731,.T.); #1043=ORIENTED_EDGE('',*,*,#753,.F.); #1044=ORIENTED_EDGE('',*,*,#754,.F.); #1045=ORIENTED_EDGE('',*,*,#766,.T.); #1046=ORIENTED_EDGE('',*,*,#737,.F.); #1047=ORIENTED_EDGE('',*,*,#766,.F.); #1048=ORIENTED_EDGE('',*,*,#729,.F.); #1049=ORIENTED_EDGE('',*,*,#730,.F.); #1050=ORIENTED_EDGE('',*,*,#767,.T.); #1051=ORIENTED_EDGE('',*,*,#732,.F.); #1052=ORIENTED_EDGE('',*,*,#736,.F.); #1053=ORIENTED_EDGE('',*,*,#767,.F.); #1054=ORIENTED_EDGE('',*,*,#735,.F.); #1055=ORIENTED_EDGE('',*,*,#734,.F.); #1056=ORIENTED_EDGE('',*,*,#768,.T.); #1057=ORIENTED_EDGE('',*,*,#745,.F.); #1058=ORIENTED_EDGE('',*,*,#769,.T.); #1059=ORIENTED_EDGE('',*,*,#742,.F.); #1060=ORIENTED_EDGE('',*,*,#749,.F.); #1061=ORIENTED_EDGE('',*,*,#770,.T.); #1062=ORIENTED_EDGE('',*,*,#746,.F.); #1063=ORIENTED_EDGE('',*,*,#768,.F.); #1064=ORIENTED_EDGE('',*,*,#748,.T.); #1065=ORIENTED_EDGE('',*,*,#771,.T.); #1066=ORIENTED_EDGE('',*,*,#772,.T.); #1067=ORIENTED_EDGE('',*,*,#773,.T.); #1068=ORIENTED_EDGE('',*,*,#771,.F.); #1069=ORIENTED_EDGE('',*,*,#747,.T.); #1070=ORIENTED_EDGE('',*,*,#770,.F.); #1071=ORIENTED_EDGE('',*,*,#744,.T.); #1072=ORIENTED_EDGE('',*,*,#774,.T.); #1073=ORIENTED_EDGE('',*,*,#775,.T.); #1074=ORIENTED_EDGE('',*,*,#776,.T.); #1075=ORIENTED_EDGE('',*,*,#774,.F.); #1076=ORIENTED_EDGE('',*,*,#743,.T.); #1077=ORIENTED_EDGE('',*,*,#769,.F.); #1078=ORIENTED_EDGE('',*,*,#776,.F.); #1079=ORIENTED_EDGE('',*,*,#775,.F.); #1080=ORIENTED_EDGE('',*,*,#777,.T.); #1081=ORIENTED_EDGE('',*,*,#762,.F.); #1082=ORIENTED_EDGE('',*,*,#763,.F.); #1083=ORIENTED_EDGE('',*,*,#777,.F.); #1084=ORIENTED_EDGE('',*,*,#773,.F.); #1085=ORIENTED_EDGE('',*,*,#772,.F.); #1086=ORIENTED_EDGE('',*,*,#778,.T.); #1087=ORIENTED_EDGE('',*,*,#764,.F.); #1088=ORIENTED_EDGE('',*,*,#765,.F.); #1089=ORIENTED_EDGE('',*,*,#778,.F.); #1090=ORIENTED_EDGE('',*,*,#779,.T.); #1091=ORIENTED_EDGE('',*,*,#761,.T.); #1092=ORIENTED_EDGE('',*,*,#760,.T.); #1093=ORIENTED_EDGE('',*,*,#779,.F.); #1094=ORIENTED_EDGE('',*,*,#780,.F.); #1095=ORIENTED_EDGE('',*,*,#781,.F.); #1096=ORIENTED_EDGE('',*,*,#782,.T.); #1097=ORIENTED_EDGE('',*,*,#740,.T.); #1098=ORIENTED_EDGE('',*,*,#739,.T.); #1099=ORIENTED_EDGE('',*,*,#750,.T.); #1100=ORIENTED_EDGE('',*,*,#782,.F.); #1101=ORIENTED_EDGE('',*,*,#780,.T.); #1102=ORIENTED_EDGE('',*,*,#781,.T.); #1103=ORIENTED_EDGE('',*,*,#783,.T.); #1104=ORIENTED_EDGE('',*,*,#759,.F.); #1105=ORIENTED_EDGE('',*,*,#783,.F.); #1106=ORIENTED_EDGE('',*,*,#784,.T.); #1107=ORIENTED_EDGE('',*,*,#785,.T.); #1108=ORIENTED_EDGE('',*,*,#752,.T.); #1109=ORIENTED_EDGE('',*,*,#751,.T.); #1110=ORIENTED_EDGE('',*,*,#785,.F.); #1111=ORIENTED_EDGE('',*,*,#786,.T.); #1112=ORIENTED_EDGE('',*,*,#784,.F.); #1113=ORIENTED_EDGE('',*,*,#787,.T.); #1114=ORIENTED_EDGE('',*,*,#758,.T.); #1115=ORIENTED_EDGE('',*,*,#787,.F.); #1116=ORIENTED_EDGE('',*,*,#786,.F.); #1117=ORIENTED_EDGE('',*,*,#788,.T.); #1118=ORIENTED_EDGE('',*,*,#789,.T.); #1119=ORIENTED_EDGE('',*,*,#790,.T.); #1120=ORIENTED_EDGE('',*,*,#791,.T.); #1121=ORIENTED_EDGE('',*,*,#789,.F.); #1122=ORIENTED_EDGE('',*,*,#790,.F.); #1123=ORIENTED_EDGE('',*,*,#791,.F.); #1124=ORIENTED_EDGE('',*,*,#792,.T.); #1125=ORIENTED_EDGE('',*,*,#793,.T.); #1126=ORIENTED_EDGE('',*,*,#794,.T.); #1127=ORIENTED_EDGE('',*,*,#792,.F.); #1128=ORIENTED_EDGE('',*,*,#788,.F.); #1129=ORIENTED_EDGE('',*,*,#795,.F.); #1130=ORIENTED_EDGE('',*,*,#796,.T.); #1131=ORIENTED_EDGE('',*,*,#797,.F.); #1132=ORIENTED_EDGE('',*,*,#798,.F.); #1133=ORIENTED_EDGE('',*,*,#799,.F.); #1134=ORIENTED_EDGE('',*,*,#800,.F.); #1135=ORIENTED_EDGE('',*,*,#801,.T.); #1136=ORIENTED_EDGE('',*,*,#802,.F.); #1137=ORIENTED_EDGE('',*,*,#796,.F.); #1138=ORIENTED_EDGE('',*,*,#803,.F.); #1139=ORIENTED_EDGE('',*,*,#804,.T.); #1140=ORIENTED_EDGE('',*,*,#805,.F.); #1141=ORIENTED_EDGE('',*,*,#801,.F.); #1142=ORIENTED_EDGE('',*,*,#806,.F.); #1143=ORIENTED_EDGE('',*,*,#807,.F.); #1144=ORIENTED_EDGE('',*,*,#808,.F.); #1145=ORIENTED_EDGE('',*,*,#804,.F.); #1146=ORIENTED_EDGE('',*,*,#809,.F.); #1147=ORIENTED_EDGE('',*,*,#798,.T.); #1148=ORIENTED_EDGE('',*,*,#810,.F.); #1149=ORIENTED_EDGE('',*,*,#811,.F.); #1150=ORIENTED_EDGE('',*,*,#812,.F.); #1151=ORIENTED_EDGE('',*,*,#811,.T.); #1152=ORIENTED_EDGE('',*,*,#813,.F.); #1153=ORIENTED_EDGE('',*,*,#807,.T.); #1154=ORIENTED_EDGE('',*,*,#814,.T.); #1155=ORIENTED_EDGE('',*,*,#799,.T.); #1156=ORIENTED_EDGE('',*,*,#809,.T.); #1157=ORIENTED_EDGE('',*,*,#812,.T.); #1158=ORIENTED_EDGE('',*,*,#806,.T.); #1159=ORIENTED_EDGE('',*,*,#803,.T.); #1160=ORIENTED_EDGE('',*,*,#800,.T.); #1161=ORIENTED_EDGE('',*,*,#795,.T.); #1162=ORIENTED_EDGE('',*,*,#814,.F.); #1163=ORIENTED_EDGE('',*,*,#815,.F.); #1164=ORIENTED_EDGE('',*,*,#816,.F.); #1165=ORIENTED_EDGE('',*,*,#808,.T.); #1166=ORIENTED_EDGE('',*,*,#813,.T.); #1167=ORIENTED_EDGE('',*,*,#810,.T.); #1168=ORIENTED_EDGE('',*,*,#797,.T.); #1169=ORIENTED_EDGE('',*,*,#802,.T.); #1170=ORIENTED_EDGE('',*,*,#805,.T.); #1171=ORIENTED_EDGE('',*,*,#817,.F.); #1172=ORIENTED_EDGE('',*,*,#818,.F.); #1173=ORIENTED_EDGE('',*,*,#819,.T.); #1174=ORIENTED_EDGE('',*,*,#816,.T.); #1175=ORIENTED_EDGE('',*,*,#815,.T.); #1176=ORIENTED_EDGE('',*,*,#819,.F.); #1177=ORIENTED_EDGE('',*,*,#794,.F.); #1178=ORIENTED_EDGE('',*,*,#793,.F.); #1179=ORIENTED_EDGE('',*,*,#820,.T.); #1180=ORIENTED_EDGE('',*,*,#818,.T.); #1181=ORIENTED_EDGE('',*,*,#817,.T.); #1182=ORIENTED_EDGE('',*,*,#820,.F.); #1183=CYLINDRICAL_SURFACE('',#1347,2.25); #1184=CYLINDRICAL_SURFACE('',#1351,2.25); #1185=CYLINDRICAL_SURFACE('',#1355,2.25); #1186=CYLINDRICAL_SURFACE('',#1359,2.25); #1187=CYLINDRICAL_SURFACE('',#1379,5.); #1188=CYLINDRICAL_SURFACE('',#1382,5.); #1189=CYLINDRICAL_SURFACE('',#1404,5.); #1190=CYLINDRICAL_SURFACE('',#1406,5.); #1191=CYLINDRICAL_SURFACE('',#1416,2.75); #1192=CYLINDRICAL_SURFACE('',#1420,2.75); #1193=CYLINDRICAL_SURFACE('',#1427,4.); #1194=CYLINDRICAL_SURFACE('',#1438,9.9); #1195=CYLINDRICAL_SURFACE('',#1459,2.1); #1196=CYLINDRICAL_SURFACE('',#1462,2.1); #1197=CYLINDRICAL_SURFACE('',#1468,1.65); #1198=CYLINDRICAL_SURFACE('',#1472,1.65); #1199=CYLINDRICAL_SURFACE('',#1481,2.49999999999999); #1200=CYLINDRICAL_SURFACE('',#1495,4.71500000000001); #1201=ADVANCED_FACE('',(#125),#1183,.F.); #1202=ADVANCED_FACE('',(#126),#1184,.F.); #1203=ADVANCED_FACE('',(#127),#1185,.F.); #1204=ADVANCED_FACE('',(#128),#1186,.F.); #1205=ADVANCED_FACE('',(#129),#101,.T.); #1206=ADVANCED_FACE('',(#130),#82,.T.); #1207=ADVANCED_FACE('',(#131),#102,.T.); #1208=ADVANCED_FACE('',(#132),#83,.T.); #1209=ADVANCED_FACE('',(#133),#103,.T.); #1210=ADVANCED_FACE('',(#134),#84,.T.); #1211=ADVANCED_FACE('',(#135),#104,.T.); #1212=ADVANCED_FACE('',(#136),#85,.T.); #1213=ADVANCED_FACE('',(#137),#1187,.T.); #1214=ADVANCED_FACE('',(#138),#105,.T.); #1215=ADVANCED_FACE('',(#139),#1188,.T.); #1216=ADVANCED_FACE('',(#140),#106,.T.); #1217=ADVANCED_FACE('',(#141,#61,#62,#63,#64,#65,#66),#107,.F.); #1218=ADVANCED_FACE('',(#142),#108,.T.); #1219=ADVANCED_FACE('',(#143),#86,.T.); #1220=ADVANCED_FACE('',(#144),#109,.T.); #1221=ADVANCED_FACE('',(#145),#87,.T.); #1222=ADVANCED_FACE('',(#146),#110,.T.); #1223=ADVANCED_FACE('',(#147),#88,.T.); #1224=ADVANCED_FACE('',(#148),#111,.T.); #1225=ADVANCED_FACE('',(#149),#89,.T.); #1226=ADVANCED_FACE('',(#150),#1189,.T.); #1227=ADVANCED_FACE('',(#151),#112,.T.); #1228=ADVANCED_FACE('',(#152),#1190,.T.); #1229=ADVANCED_FACE('',(#153,#67,#68,#69,#70,#71,#72),#113,.T.); #1230=ADVANCED_FACE('',(#154),#114,.T.); #1231=ADVANCED_FACE('',(#155),#90,.F.); #1232=ADVANCED_FACE('',(#156),#1191,.F.); #1233=ADVANCED_FACE('',(#157),#91,.F.); #1234=ADVANCED_FACE('',(#158),#1192,.F.); #1235=ADVANCED_FACE('',(#159,#73,#74),#48,.T.); #1236=ADVANCED_FACE('',(#160),#1193,.T.); #1237=ADVANCED_FACE('',(#161,#75),#92,.T.); #1238=ADVANCED_FACE('',(#162),#1194,.T.); #1239=ADVANCED_FACE('',(#163,#76,#77,#78,#79,#80),#115,.T.); #1240=ADVANCED_FACE('',(#164),#116,.T.); #1241=ADVANCED_FACE('',(#165),#34,.T.); #1242=ADVANCED_FACE('',(#166),#35,.F.); #1243=ADVANCED_FACE('',(#167),#36,.F.); #1244=ADVANCED_FACE('',(#168),#1195,.F.); #1245=ADVANCED_FACE('',(#169),#1196,.F.); #1246=ADVANCED_FACE('',(#170),#93,.F.); #1247=ADVANCED_FACE('',(#171),#94,.F.); #1248=ADVANCED_FACE('',(#172),#95,.F.); #1249=ADVANCED_FACE('',(#173),#1197,.F.); #1250=ADVANCED_FACE('',(#174),#96,.F.); #1251=ADVANCED_FACE('',(#175),#1198,.F.); #1252=ADVANCED_FACE('',(#176),#97,.F.); #1253=ADVANCED_FACE('',(#177),#98,.T.); #1254=ADVANCED_FACE('',(#178),#1199,.T.); #1255=ADVANCED_FACE('',(#179),#117,.T.); #1256=ADVANCED_FACE('',(#180),#118,.F.); #1257=ADVANCED_FACE('',(#181),#119,.F.); #1258=ADVANCED_FACE('',(#182),#120,.F.); #1259=ADVANCED_FACE('',(#183),#121,.F.); #1260=ADVANCED_FACE('',(#184),#122,.F.); #1261=ADVANCED_FACE('',(#185),#123,.F.); #1262=ADVANCED_FACE('',(#186),#99,.F.); #1263=ADVANCED_FACE('',(#187,#81),#124,.T.); #1264=ADVANCED_FACE('',(#188),#1200,.T.); #1265=ADVANCED_FACE('',(#189),#100,.T.); #1266=CLOSED_SHELL('',(#1201,#1202,#1203,#1204,#1205,#1206,#1207,#1208, #1209,#1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219,#1220, #1221,#1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229,#1230,#1231,#1232, #1233,#1234)); #1267=CLOSED_SHELL('',(#1235,#1236,#1237,#1238,#1239,#1240,#1241,#1242, #1243,#1244,#1245,#1246,#1247,#1248,#1249,#1250,#1251,#1252)); #1268=CLOSED_SHELL('',(#1253,#1254,#1255,#1256,#1257,#1258,#1259,#1260, #1261,#1262,#1263,#1264,#1265)); #1269=DERIVED_UNIT_ELEMENT(#1275,1.); #1270=DERIVED_UNIT_ELEMENT(#2470,3.); #1271=DERIVED_UNIT_ELEMENT(#1275,1.); #1272=DERIVED_UNIT_ELEMENT(#2470,3.); #1273=DERIVED_UNIT_ELEMENT(#1275,1.); #1274=DERIVED_UNIT_ELEMENT(#2470,3.); #1275=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT($,.GRAM.) ); #1276=DERIVED_UNIT((#1269,#1270)); #1277=DERIVED_UNIT((#1271,#1272)); #1278=DERIVED_UNIT((#1273,#1274)); #1279=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#1276); #1280=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(1.),#1277); #1281=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7.85),#1278); #1282=PROPERTY_DEFINITION_REPRESENTATION(#1297,#1288); #1283=PROPERTY_DEFINITION_REPRESENTATION(#1298,#1289); #1284=PROPERTY_DEFINITION_REPRESENTATION(#1299,#1290); #1285=PROPERTY_DEFINITION_REPRESENTATION(#1300,#1291); #1286=PROPERTY_DEFINITION_REPRESENTATION(#1301,#1292); #1287=PROPERTY_DEFINITION_REPRESENTATION(#1302,#1293); #1288=REPRESENTATION('material name',(#1294),#2465); #1289=REPRESENTATION('density',(#1279),#2465); #1290=REPRESENTATION('material name',(#1295),#2466); #1291=REPRESENTATION('density',(#1280),#2466); #1292=REPRESENTATION('material name',(#1296),#2467); #1293=REPRESENTATION('density',(#1281),#2467); #1294=DESCRIPTIVE_REPRESENTATION_ITEM('Allgemein','Allgemein'); #1295=DESCRIPTIVE_REPRESENTATION_ITEM('Allgemein','Allgemein'); #1296=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl, weich','Stahl, weich'); #1297=PROPERTY_DEFINITION('material property','material name',#2491); #1298=PROPERTY_DEFINITION('material property','density of part',#2491); #1299=PROPERTY_DEFINITION('material property','material name',#2492); #1300=PROPERTY_DEFINITION('material property','density of part',#2492); #1301=PROPERTY_DEFINITION('material property','material name',#2493); #1302=PROPERTY_DEFINITION('material property','density of part',#2493); #1303=PRESENTATION_STYLE_ASSIGNMENT((#1305)); #1304=PRESENTATION_STYLE_ASSIGNMENT((#1306)); #1305=SURFACE_STYLE_USAGE(.BOTH.,#1311); #1306=SURFACE_STYLE_USAGE(.BOTH.,#1312); #1307=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#1319,(#1309)); #1308=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#1320,(#1310)); #1309=SURFACE_STYLE_TRANSPARENT(0.); #1310=SURFACE_STYLE_TRANSPARENT(0.); #1311=SURFACE_SIDE_STYLE('',(#1313,#1307)); #1312=SURFACE_SIDE_STYLE('',(#1314,#1308)); #1313=SURFACE_STYLE_FILL_AREA(#1315); #1314=SURFACE_STYLE_FILL_AREA(#1316); #1315=FILL_AREA_STYLE('',(#1317)); #1316=FILL_AREA_STYLE('',(#1318)); #1317=FILL_AREA_STYLE_COLOUR('',#1319); #1318=FILL_AREA_STYLE_COLOUR('',#1320); #1319=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137); #1320=COLOUR_RGB('',0.745098039215686,0.737254901960784,0.729411764705882); #1321=DATE_TIME_ROLE('creation_date'); #1322=DATE_TIME_ROLE('creation_date'); #1323=DATE_TIME_ROLE('creation_date'); #1324=DATE_TIME_ROLE('creation_date'); #1325=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1329,#1321,(#2490)); #1326=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1330,#1322,(#2491)); #1327=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1331,#1323,(#2492)); #1328=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1332,#1324,(#2493)); #1329=DATE_AND_TIME(#1333,#1337); #1330=DATE_AND_TIME(#1334,#1338); #1331=DATE_AND_TIME(#1335,#1339); #1332=DATE_AND_TIME(#1336,#1340); #1333=CALENDAR_DATE(2020,30,1); #1334=CALENDAR_DATE(2019,28,11); #1335=CALENDAR_DATE(2018,20,2); #1336=CALENDAR_DATE(2019,20,1); #1337=LOCAL_TIME(9,32,56.,#1341); #1338=LOCAL_TIME(14,13,21.,#1342); #1339=LOCAL_TIME(20,42,26.,#1343); #1340=LOCAL_TIME(23,15,55.,#1344); #1341=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #1342=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #1343=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #1344=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); #1345=AXIS2_PLACEMENT_3D('placement',#1897,#1503,#1504); #1346=AXIS2_PLACEMENT_3D('placement',#1898,#1505,#1506); #1347=AXIS2_PLACEMENT_3D('',#1899,#1507,#1508); #1348=AXIS2_PLACEMENT_3D('',#1902,#1509,#1510); #1349=AXIS2_PLACEMENT_3D('',#1903,#1511,#1512); #1350=AXIS2_PLACEMENT_3D('',#1906,#1514,#1515); #1351=AXIS2_PLACEMENT_3D('',#1907,#1516,#1517); #1352=AXIS2_PLACEMENT_3D('',#1910,#1518,#1519); #1353=AXIS2_PLACEMENT_3D('',#1911,#1520,#1521); #1354=AXIS2_PLACEMENT_3D('',#1914,#1523,#1524); #1355=AXIS2_PLACEMENT_3D('',#1915,#1525,#1526); #1356=AXIS2_PLACEMENT_3D('',#1918,#1527,#1528); #1357=AXIS2_PLACEMENT_3D('',#1919,#1529,#1530); #1358=AXIS2_PLACEMENT_3D('',#1922,#1532,#1533); #1359=AXIS2_PLACEMENT_3D('',#1923,#1534,#1535); #1360=AXIS2_PLACEMENT_3D('',#1926,#1536,#1537); #1361=AXIS2_PLACEMENT_3D('',#1927,#1538,#1539); #1362=AXIS2_PLACEMENT_3D('',#1930,#1541,#1542); #1363=AXIS2_PLACEMENT_3D('',#1931,#1543,#1544); #1364=AXIS2_PLACEMENT_3D('',#1940,#1549,#1550); #1365=AXIS2_PLACEMENT_3D('',#1942,#1551,#1552); #1366=AXIS2_PLACEMENT_3D('',#1945,#1554,#1555); #1367=AXIS2_PLACEMENT_3D('',#1946,#1556,#1557); #1368=AXIS2_PLACEMENT_3D('',#1952,#1561,#1562); #1369=AXIS2_PLACEMENT_3D('',#1954,#1563,#1564); #1370=AXIS2_PLACEMENT_3D('',#1957,#1566,#1567); #1371=AXIS2_PLACEMENT_3D('',#1958,#1568,#1569); #1372=AXIS2_PLACEMENT_3D('',#1964,#1573,#1574); #1373=AXIS2_PLACEMENT_3D('',#1966,#1575,#1576); #1374=AXIS2_PLACEMENT_3D('',#1969,#1578,#1579); #1375=AXIS2_PLACEMENT_3D('',#1970,#1580,#1581); #1376=AXIS2_PLACEMENT_3D('',#1976,#1585,#1586); #1377=AXIS2_PLACEMENT_3D('',#1977,#1587,#1588); #1378=AXIS2_PLACEMENT_3D('',#1978,#1589,#1590); #1379=AXIS2_PLACEMENT_3D('',#1979,#1591,#1592); #1380=AXIS2_PLACEMENT_3D('',#1983,#1594,#1595); #1381=AXIS2_PLACEMENT_3D('',#1985,#1597,#1598); #1382=AXIS2_PLACEMENT_3D('',#1989,#1601,#1602); #1383=AXIS2_PLACEMENT_3D('',#1992,#1604,#1605); #1384=AXIS2_PLACEMENT_3D('',#1993,#1606,#1607); #1385=AXIS2_PLACEMENT_3D('',#1997,#1610,#1611); #1386=AXIS2_PLACEMENT_3D('',#2000,#1612,#1613); #1387=AXIS2_PLACEMENT_3D('',#2001,#1614,#1615); #1388=AXIS2_PLACEMENT_3D('',#2004,#1616,#1617); #1389=AXIS2_PLACEMENT_3D('',#2005,#1618,#1619); #1390=AXIS2_PLACEMENT_3D('',#2006,#1620,#1621); #1391=AXIS2_PLACEMENT_3D('',#2012,#1625,#1626); #1392=AXIS2_PLACEMENT_3D('',#2014,#1627,#1628); #1393=AXIS2_PLACEMENT_3D('',#2017,#1630,#1631); #1394=AXIS2_PLACEMENT_3D('',#2018,#1632,#1633); #1395=AXIS2_PLACEMENT_3D('',#2024,#1637,#1638); #1396=AXIS2_PLACEMENT_3D('',#2026,#1639,#1640); #1397=AXIS2_PLACEMENT_3D('',#2029,#1642,#1643); #1398=AXIS2_PLACEMENT_3D('',#2030,#1644,#1645); #1399=AXIS2_PLACEMENT_3D('',#2035,#1649,#1650); #1400=AXIS2_PLACEMENT_3D('',#2038,#1652,#1653); #1401=AXIS2_PLACEMENT_3D('',#2039,#1654,#1655); #1402=AXIS2_PLACEMENT_3D('',#2043,#1658,#1659); #1403=AXIS2_PLACEMENT_3D('',#2044,#1660,#1661); #1404=AXIS2_PLACEMENT_3D('',#2045,#1662,#1663); #1405=AXIS2_PLACEMENT_3D('',#2048,#1666,#1667); #1406=AXIS2_PLACEMENT_3D('',#2050,#1669,#1670); #1407=AXIS2_PLACEMENT_3D('',#2051,#1671,#1672); #1408=AXIS2_PLACEMENT_3D('',#2054,#1673,#1674); #1409=AXIS2_PLACEMENT_3D('',#2055,#1675,#1676); #1410=AXIS2_PLACEMENT_3D('',#2058,#1677,#1678); #1411=AXIS2_PLACEMENT_3D('',#2059,#1679,#1680); #1412=AXIS2_PLACEMENT_3D('',#2060,#1681,#1682); #1413=AXIS2_PLACEMENT_3D('',#2061,#1683,#1684); #1414=AXIS2_PLACEMENT_3D('',#2065,#1686,#1687); #1415=AXIS2_PLACEMENT_3D('',#2066,#1688,#1689); #1416=AXIS2_PLACEMENT_3D('',#2067,#1690,#1691); #1417=AXIS2_PLACEMENT_3D('',#2069,#1693,#1694); #1418=AXIS2_PLACEMENT_3D('',#2073,#1696,#1697); #1419=AXIS2_PLACEMENT_3D('',#2074,#1698,#1699); #1420=AXIS2_PLACEMENT_3D('',#2075,#1700,#1701); #1421=AXIS2_PLACEMENT_3D('placement',#2077,#1703,#1704); #1422=AXIS2_PLACEMENT_3D('',#2078,#1705,#1706); #1423=AXIS2_PLACEMENT_3D('',#2081,#1707,#1708); #1424=AXIS2_PLACEMENT_3D('',#2084,#1709,#1710); #1425=AXIS2_PLACEMENT_3D('',#2085,#1711,#1712); #1426=AXIS2_PLACEMENT_3D('',#2087,#1713,#1714); #1427=AXIS2_PLACEMENT_3D('',#2088,#1715,#1716); #1428=AXIS2_PLACEMENT_3D('',#2091,#1717,#1718); #1429=AXIS2_PLACEMENT_3D('',#2095,#1720,#1721); #1430=AXIS2_PLACEMENT_3D('',#2096,#1722,#1723); #1431=AXIS2_PLACEMENT_3D('',#2097,#1724,#1725); #1432=AXIS2_PLACEMENT_3D('',#2098,#1726,#1727); #1433=AXIS2_PLACEMENT_3D('',#2100,#1728,#1729); #1434=AXIS2_PLACEMENT_3D('',#2131,#1732,#1733); #1435=AXIS2_PLACEMENT_3D('',#2170,#1734,#1735); #1436=AXIS2_PLACEMENT_3D('',#2172,#1736,#1737); #1437=AXIS2_PLACEMENT_3D('',#2210,#1738,#1739); #1438=AXIS2_PLACEMENT_3D('',#2270,#1740,#1741); #1439=AXIS2_PLACEMENT_3D('',#2273,#1742,#1743); #1440=AXIS2_PLACEMENT_3D('',#2274,#1744,#1745); #1441=AXIS2_PLACEMENT_3D('',#2278,#1747,#1748); #1442=AXIS2_PLACEMENT_3D('',#2279,#1749,#1750); #1443=AXIS2_PLACEMENT_3D('',#2280,#1751,#1752); #1444=AXIS2_PLACEMENT_3D('',#2282,#1753,#1754); #1445=AXIS2_PLACEMENT_3D('',#2284,#1755,#1756); #1446=AXIS2_PLACEMENT_3D('',#2287,#1757,#1758); #1447=AXIS2_PLACEMENT_3D('',#2288,#1759,#1760); #1448=AXIS2_PLACEMENT_3D('',#2291,#1761,#1762); #1449=AXIS2_PLACEMENT_3D('',#2292,#1763,#1764); #1450=AXIS2_PLACEMENT_3D('',#2295,#1765,#1766); #1451=AXIS2_PLACEMENT_3D('',#2296,#1767,#1768); #1452=AXIS2_PLACEMENT_3D('',#2297,#1769,#1770); #1453=AXIS2_PLACEMENT_3D('',#2298,#1771,#1772); #1454=AXIS2_PLACEMENT_3D('',#2299,#1773,#1774); #1455=AXIS2_PLACEMENT_3D('',#2300,#1775,#1776); #1456=AXIS2_PLACEMENT_3D('',#2301,#1777,#1778); #1457=AXIS2_PLACEMENT_3D('',#2302,#1779,#1780); #1458=AXIS2_PLACEMENT_3D('',#2303,#1781,#1782); #1459=AXIS2_PLACEMENT_3D('',#2336,#1783,#1784); #1460=AXIS2_PLACEMENT_3D('',#2340,#1786,#1787); #1461=AXIS2_PLACEMENT_3D('',#2341,#1788,#1789); #1462=AXIS2_PLACEMENT_3D('',#2342,#1790,#1791); #1463=AXIS2_PLACEMENT_3D('',#2346,#1793,#1794); #1464=AXIS2_PLACEMENT_3D('',#2347,#1795,#1796); #1465=AXIS2_PLACEMENT_3D('',#2348,#1797,#1798); #1466=AXIS2_PLACEMENT_3D('',#2350,#1800,#1801); #1467=AXIS2_PLACEMENT_3D('',#2352,#1803,#1804); #1468=AXIS2_PLACEMENT_3D('',#2355,#1806,#1807); #1469=AXIS2_PLACEMENT_3D('',#2358,#1808,#1809); #1470=AXIS2_PLACEMENT_3D('',#2359,#1810,#1811); #1471=AXIS2_PLACEMENT_3D('',#2361,#1813,#1814); #1472=AXIS2_PLACEMENT_3D('',#2363,#1816,#1817); #1473=AXIS2_PLACEMENT_3D('',#2366,#1818,#1819); #1474=AXIS2_PLACEMENT_3D('',#2368,#1821,#1822); #1475=AXIS2_PLACEMENT_3D('',#2369,#1823,#1824); #1476=AXIS2_PLACEMENT_3D('placement',#2371,#1826,#1827); #1477=AXIS2_PLACEMENT_3D('',#2372,#1828,#1829); #1478=AXIS2_PLACEMENT_3D('',#2374,#1830,#1831); #1479=AXIS2_PLACEMENT_3D('',#2378,#1833,#1834); #1480=AXIS2_PLACEMENT_3D('',#2379,#1835,#1836); #1481=AXIS2_PLACEMENT_3D('',#2380,#1837,#1838); #1482=AXIS2_PLACEMENT_3D('',#2384,#1840,#1841); #1483=AXIS2_PLACEMENT_3D('',#2385,#1842,#1843); #1484=AXIS2_PLACEMENT_3D('',#2386,#1844,#1845); #1485=AXIS2_PLACEMENT_3D('',#2387,#1846,#1847); #1486=AXIS2_PLACEMENT_3D('',#2402,#1851,#1852); #1487=AXIS2_PLACEMENT_3D('',#2410,#1855,#1856); #1488=AXIS2_PLACEMENT_3D('',#2418,#1859,#1860); #1489=AXIS2_PLACEMENT_3D('',#2426,#1863,#1864); #1490=AXIS2_PLACEMENT_3D('',#2434,#1867,#1868); #1491=AXIS2_PLACEMENT_3D('',#2439,#1870,#1871); #1492=AXIS2_PLACEMENT_3D('',#2442,#1873,#1874); #1493=AXIS2_PLACEMENT_3D('',#2445,#1875,#1876); #1494=AXIS2_PLACEMENT_3D('',#2446,#1877,#1878); #1495=AXIS2_PLACEMENT_3D('',#2447,#1879,#1880); #1496=AXIS2_PLACEMENT_3D('',#2450,#1881,#1882); #1497=AXIS2_PLACEMENT_3D('',#2451,#1883,#1884); #1498=AXIS2_PLACEMENT_3D('',#2453,#1886,#1887); #1499=AXIS2_PLACEMENT_3D('',#2455,#1889,#1890); #1500=AXIS2_PLACEMENT_3D('',#2456,#1891,#1892); #1501=AXIS2_PLACEMENT_3D('',#2457,#1893,#1894); #1502=AXIS2_PLACEMENT_3D('',#2458,#1895,#1896); #1503=DIRECTION('axis',(0.,0.,1.)); #1504=DIRECTION('refdir',(1.,0.,0.)); #1505=DIRECTION('axis',(0.,0.,1.)); #1506=DIRECTION('refdir',(1.,0.,0.)); #1507=DIRECTION('center_axis',(0.,0.,1.)); #1508=DIRECTION('ref_axis',(1.,0.,0.)); #1509=DIRECTION('center_axis',(0.,0.,-1.)); #1510=DIRECTION('ref_axis',(1.,0.,0.)); #1511=DIRECTION('center_axis',(0.,0.,-1.)); #1512=DIRECTION('ref_axis',(1.,0.,0.)); #1513=DIRECTION('',(0.,0.,-1.)); #1514=DIRECTION('center_axis',(0.,0.,1.)); #1515=DIRECTION('ref_axis',(1.,0.,0.)); #1516=DIRECTION('center_axis',(0.,0.,1.)); #1517=DIRECTION('ref_axis',(1.,0.,0.)); #1518=DIRECTION('center_axis',(0.,0.,-1.)); #1519=DIRECTION('ref_axis',(1.,0.,0.)); #1520=DIRECTION('center_axis',(0.,0.,-1.)); #1521=DIRECTION('ref_axis',(1.,0.,0.)); #1522=DIRECTION('',(0.,0.,-1.)); #1523=DIRECTION('center_axis',(0.,0.,1.)); #1524=DIRECTION('ref_axis',(1.,0.,0.)); #1525=DIRECTION('center_axis',(0.,0.,1.)); #1526=DIRECTION('ref_axis',(1.,0.,0.)); #1527=DIRECTION('center_axis',(0.,0.,-1.)); #1528=DIRECTION('ref_axis',(1.,0.,0.)); #1529=DIRECTION('center_axis',(0.,0.,-1.)); #1530=DIRECTION('ref_axis',(1.,0.,0.)); #1531=DIRECTION('',(0.,0.,-1.)); #1532=DIRECTION('center_axis',(0.,0.,1.)); #1533=DIRECTION('ref_axis',(1.,0.,0.)); #1534=DIRECTION('center_axis',(0.,0.,1.)); #1535=DIRECTION('ref_axis',(1.,0.,0.)); #1536=DIRECTION('center_axis',(0.,0.,-1.)); #1537=DIRECTION('ref_axis',(1.,0.,0.)); #1538=DIRECTION('center_axis',(0.,0.,-1.)); #1539=DIRECTION('ref_axis',(1.,0.,0.)); #1540=DIRECTION('',(0.,0.,-1.)); #1541=DIRECTION('center_axis',(0.,0.,1.)); #1542=DIRECTION('ref_axis',(1.,0.,0.)); #1543=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #1544=DIRECTION('ref_axis',(-1.,0.,0.)); #1545=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #1546=DIRECTION('',(1.,0.,0.)); #1547=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #1548=DIRECTION('',(-1.,0.,0.)); #1549=DIRECTION('center_axis',(0.,0.,1.)); #1550=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #1551=DIRECTION('center_axis',(0.,0.,-1.)); #1552=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #1553=DIRECTION('',(0.707106781186546,0.,-0.707106781186549)); #1554=DIRECTION('center_axis',(0.,0.,1.)); #1555=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #1556=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #1557=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #1558=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #1559=DIRECTION('',(0.,-1.,0.)); #1560=DIRECTION('',(0.,1.,0.)); #1561=DIRECTION('center_axis',(0.,0.,1.)); #1562=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #1563=DIRECTION('center_axis',(0.,0.,-1.)); #1564=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #1565=DIRECTION('',(0.,-0.707106781186546,-0.707106781186549)); #1566=DIRECTION('center_axis',(0.,0.,1.)); #1567=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #1568=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #1569=DIRECTION('ref_axis',(-1.,0.,0.)); #1570=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #1571=DIRECTION('',(-1.,0.,0.)); #1572=DIRECTION('',(1.,0.,0.)); #1573=DIRECTION('center_axis',(0.,0.,1.)); #1574=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #1575=DIRECTION('center_axis',(0.,0.,-1.)); #1576=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #1577=DIRECTION('',(-0.707106781186546,0.,-0.707106781186549)); #1578=DIRECTION('center_axis',(0.,0.,1.)); #1579=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #1580=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #1581=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #1582=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #1583=DIRECTION('',(0.,1.,0.)); #1584=DIRECTION('',(0.,-1.,0.)); #1585=DIRECTION('center_axis',(0.,0.,1.)); #1586=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #1587=DIRECTION('center_axis',(0.,0.,-1.)); #1588=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #1589=DIRECTION('center_axis',(0.,0.,1.)); #1590=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #1591=DIRECTION('center_axis',(0.,0.,1.)); #1592=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #1593=DIRECTION('',(0.,0.,1.)); #1594=DIRECTION('center_axis',(0.,0.,1.)); #1595=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #1596=DIRECTION('',(0.,0.,-1.)); #1597=DIRECTION('center_axis',(-1.,0.,0.)); #1598=DIRECTION('ref_axis',(0.,-1.,0.)); #1599=DIRECTION('',(0.,0.,-1.)); #1600=DIRECTION('',(0.,-1.,0.)); #1601=DIRECTION('center_axis',(0.,0.,1.)); #1602=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #1603=DIRECTION('',(0.,0.,1.)); #1604=DIRECTION('center_axis',(0.,0.,1.)); #1605=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #1606=DIRECTION('center_axis',(0.,-1.,0.)); #1607=DIRECTION('ref_axis',(1.,0.,0.)); #1608=DIRECTION('',(0.,0.,-1.)); #1609=DIRECTION('',(1.,0.,0.)); #1610=DIRECTION('center_axis',(0.,0.,1.)); #1611=DIRECTION('ref_axis',(1.,0.,0.)); #1612=DIRECTION('center_axis',(0.,0.,1.)); #1613=DIRECTION('ref_axis',(1.,0.,0.)); #1614=DIRECTION('center_axis',(0.,0.,1.)); #1615=DIRECTION('ref_axis',(1.,0.,0.)); #1616=DIRECTION('center_axis',(0.,0.,1.)); #1617=DIRECTION('ref_axis',(1.,0.,0.)); #1618=DIRECTION('center_axis',(0.,0.,1.)); #1619=DIRECTION('ref_axis',(1.,0.,0.)); #1620=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548)); #1621=DIRECTION('ref_axis',(1.,0.,0.)); #1622=DIRECTION('',(0.,0.707106781186548,0.707106781186547)); #1623=DIRECTION('',(-1.,0.,0.)); #1624=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #1625=DIRECTION('center_axis',(0.,0.,-1.)); #1626=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #1627=DIRECTION('center_axis',(0.,0.,1.)); #1628=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #1629=DIRECTION('',(-0.707106781186546,0.,0.707106781186549)); #1630=DIRECTION('center_axis',(0.,0.,-1.)); #1631=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #1632=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #1633=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #1634=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #1635=DIRECTION('',(0.,-1.,0.)); #1636=DIRECTION('',(0.,1.,0.)); #1637=DIRECTION('center_axis',(0.,0.,-1.)); #1638=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #1639=DIRECTION('center_axis',(0.,0.,1.)); #1640=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #1641=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); #1642=DIRECTION('center_axis',(0.,0.,-1.)); #1643=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #1644=DIRECTION('center_axis',(0.,0.707106781186547,0.707106781186548)); #1645=DIRECTION('ref_axis',(1.,0.,0.)); #1646=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #1647=DIRECTION('',(1.,0.,0.)); #1648=DIRECTION('',(-1.,0.,0.)); #1649=DIRECTION('center_axis',(0.,0.,-1.)); #1650=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #1651=DIRECTION('',(0.707106781186546,0.,0.707106781186549)); #1652=DIRECTION('center_axis',(0.,0.,-1.)); #1653=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #1654=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); #1655=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #1656=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); #1657=DIRECTION('',(0.,1.,0.)); #1658=DIRECTION('center_axis',(0.,0.,-1.)); #1659=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #1660=DIRECTION('center_axis',(0.,0.,-1.)); #1661=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #1662=DIRECTION('center_axis',(0.,0.,1.)); #1663=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #1664=DIRECTION('',(0.,0.,1.)); #1665=DIRECTION('',(0.,0.,-1.)); #1666=DIRECTION('center_axis',(1.,0.,0.)); #1667=DIRECTION('ref_axis',(0.,1.,0.)); #1668=DIRECTION('',(0.,0.,1.)); #1669=DIRECTION('center_axis',(0.,0.,1.)); #1670=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #1671=DIRECTION('center_axis',(0.,0.,1.)); #1672=DIRECTION('ref_axis',(1.,0.,0.)); #1673=DIRECTION('center_axis',(0.,0.,-1.)); #1674=DIRECTION('ref_axis',(1.,0.,0.)); #1675=DIRECTION('center_axis',(0.,0.,-1.)); #1676=DIRECTION('ref_axis',(1.,0.,0.)); #1677=DIRECTION('center_axis',(0.,0.,-1.)); #1678=DIRECTION('ref_axis',(1.,0.,0.)); #1679=DIRECTION('center_axis',(0.,0.,-1.)); #1680=DIRECTION('ref_axis',(1.,0.,0.)); #1681=DIRECTION('center_axis',(0.,1.,0.)); #1682=DIRECTION('ref_axis',(-1.,0.,0.)); #1683=DIRECTION('center_axis',(0.,0.,1.)); #1684=DIRECTION('ref_axis',(1.,0.,0.)); #1685=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #1686=DIRECTION('center_axis',(0.,0.,1.)); #1687=DIRECTION('ref_axis',(1.,0.,0.)); #1688=DIRECTION('center_axis',(0.,0.,1.)); #1689=DIRECTION('ref_axis',(1.,0.,0.)); #1690=DIRECTION('center_axis',(0.,0.,1.)); #1691=DIRECTION('ref_axis',(1.,0.,0.)); #1692=DIRECTION('',(0.,0.,-1.)); #1693=DIRECTION('center_axis',(0.,0.,1.)); #1694=DIRECTION('ref_axis',(1.,0.,0.)); #1695=DIRECTION('',(0.707106781186547,8.65956056235493E-17,-0.707106781186548)); #1696=DIRECTION('center_axis',(0.,0.,1.)); #1697=DIRECTION('ref_axis',(1.,0.,0.)); #1698=DIRECTION('center_axis',(0.,0.,1.)); #1699=DIRECTION('ref_axis',(1.,0.,0.)); #1700=DIRECTION('center_axis',(0.,0.,1.)); #1701=DIRECTION('ref_axis',(1.,0.,0.)); #1702=DIRECTION('',(0.,0.,-1.)); #1703=DIRECTION('axis',(0.,0.,1.)); #1704=DIRECTION('refdir',(1.,0.,0.)); #1705=DIRECTION('center_axis',(-1.,0.,5.99569130101438E-17)); #1706=DIRECTION('ref_axis',(-1.2754269472239E-18,0.,1.)); #1707=DIRECTION('center_axis',(-1.56194752846403E-34,-1.,1.22464679914735E-16)); #1708=DIRECTION('ref_axis',(1.2754269472239E-18,-1.22464679914735E-16,-1.)); #1709=DIRECTION('center_axis',(0.,-1.,0.)); #1710=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1711=DIRECTION('center_axis',(0.,-1.,0.)); #1712=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1713=DIRECTION('center_axis',(0.,-1.,0.)); #1714=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1715=DIRECTION('center_axis',(0.,-1.,0.)); #1716=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1717=DIRECTION('center_axis',(0.,1.,0.)); #1718=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1719=DIRECTION('',(0.,1.,0.)); #1720=DIRECTION('center_axis',(0.,-1.,0.)); #1721=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1722=DIRECTION('center_axis',(0.,-1.,0.)); #1723=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1724=DIRECTION('center_axis',(0.,1.,0.)); #1725=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1726=DIRECTION('center_axis',(0.,1.,0.)); #1727=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1728=DIRECTION('center_axis',(0.,-1.,0.)); #1729=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1730=DIRECTION('',(-0.951056516295155,-0.309016994374942,1.15257828739694E-16)); #1731=DIRECTION('',(-0.951056516295155,-0.309016994374942,1.15257828739694E-16)); #1732=DIRECTION('center_axis',(0.,1.,0.)); #1733=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1734=DIRECTION('center_axis',(0.,1.,0.)); #1735=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1736=DIRECTION('center_axis',(0.,1.,0.)); #1737=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1738=DIRECTION('center_axis',(0.,1.,0.)); #1739=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1740=DIRECTION('center_axis',(0.,-1.,0.)); #1741=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1742=DIRECTION('center_axis',(0.,1.,0.)); #1743=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1744=DIRECTION('center_axis',(0.,1.,0.)); #1745=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1746=DIRECTION('',(0.,1.,0.)); #1747=DIRECTION('center_axis',(0.,-1.,0.)); #1748=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1749=DIRECTION('center_axis',(0.,-1.,0.)); #1750=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1751=DIRECTION('center_axis',(1.63704045272915E-33,1.,2.08792550710637E-51)); #1752=DIRECTION('ref_axis',(-1.,1.63704045272915E-33,-1.2754269472239E-18)); #1753=DIRECTION('center_axis',(0.,1.,0.)); #1754=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1755=DIRECTION('center_axis',(0.,-1.,0.)); #1756=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1757=DIRECTION('center_axis',(0.,1.,0.)); #1758=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1759=DIRECTION('center_axis',(0.,1.,0.)); #1760=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1761=DIRECTION('center_axis',(0.,-1.,0.)); #1762=DIRECTION('ref_axis',(-1.,0.,0.)); #1763=DIRECTION('center_axis',(0.,-1.,0.)); #1764=DIRECTION('ref_axis',(-1.,0.,0.)); #1765=DIRECTION('center_axis',(0.,-1.,0.)); #1766=DIRECTION('ref_axis',(-1.,0.,0.)); #1767=DIRECTION('center_axis',(0.,-1.,0.)); #1768=DIRECTION('ref_axis',(-1.,0.,0.)); #1769=DIRECTION('center_axis',(-1.63704045272915E-33,-1.,-2.08792550710637E-51)); #1770=DIRECTION('ref_axis',(1.,-1.63704045272915E-33,1.2754269472239E-18)); #1771=DIRECTION('center_axis',(0.,1.,0.)); #1772=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1773=DIRECTION('center_axis',(-1.21189252967511E-16,0.,-1.)); #1774=DIRECTION('ref_axis',(1.,0.,-1.21189252967511E-16)); #1775=DIRECTION('center_axis',(0.,-1.,0.)); #1776=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1777=DIRECTION('center_axis',(-1.23740106861959E-16,0.,1.)); #1778=DIRECTION('ref_axis',(-1.,0.,-1.23740106861959E-16)); #1779=DIRECTION('center_axis',(0.,-1.,0.)); #1780=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1781=DIRECTION('center_axis',(-1.23740106861959E-16,0.,1.)); #1782=DIRECTION('ref_axis',(-1.,0.,-1.23740106861959E-16)); #1783=DIRECTION('center_axis',(0.,1.,0.)); #1784=DIRECTION('ref_axis',(-1.,0.,0.)); #1785=DIRECTION('',(0.,1.,0.)); #1786=DIRECTION('center_axis',(0.,1.,0.)); #1787=DIRECTION('ref_axis',(-1.,0.,0.)); #1788=DIRECTION('center_axis',(0.,1.,0.)); #1789=DIRECTION('ref_axis',(-1.,0.,0.)); #1790=DIRECTION('center_axis',(0.,1.,0.)); #1791=DIRECTION('ref_axis',(-1.,0.,0.)); #1792=DIRECTION('',(0.,1.,0.)); #1793=DIRECTION('center_axis',(0.,1.,0.)); #1794=DIRECTION('ref_axis',(-1.,0.,0.)); #1795=DIRECTION('center_axis',(0.,1.,0.)); #1796=DIRECTION('ref_axis',(-1.,0.,0.)); #1797=DIRECTION('center_axis',(0.,1.,0.)); #1798=DIRECTION('ref_axis',(-1.,0.,0.)); #1799=DIRECTION('',(0.707106781186551,0.707106781186544,-8.65956056235497E-17)); #1800=DIRECTION('center_axis',(0.,1.,0.)); #1801=DIRECTION('ref_axis',(-1.,0.,0.)); #1802=DIRECTION('',(0.707106781186551,0.707106781186544,-8.65956056235497E-17)); #1803=DIRECTION('center_axis',(0.,1.,0.)); #1804=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1805=DIRECTION('',(0.85716730070211,0.515038074910058,-1.03879464840267E-16)); #1806=DIRECTION('center_axis',(0.,-1.,0.)); #1807=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1808=DIRECTION('center_axis',(0.,-1.,0.)); #1809=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1810=DIRECTION('center_axis',(0.,-1.,0.)); #1811=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1812=DIRECTION('',(0.,-1.,0.)); #1813=DIRECTION('center_axis',(0.,1.,0.)); #1814=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1815=DIRECTION('',(0.707106781186549,0.707106781186546,-8.56937425802595E-17)); #1816=DIRECTION('center_axis',(0.,-1.,0.)); #1817=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1818=DIRECTION('center_axis',(0.,1.,0.)); #1819=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1820=DIRECTION('',(0.,-1.,0.)); #1821=DIRECTION('center_axis',(0.,1.,0.)); #1822=DIRECTION('ref_axis',(-1.,0.,-1.2754269472239E-18)); #1823=DIRECTION('center_axis',(0.,1.,0.)); #1824=DIRECTION('ref_axis',(1.,0.,1.2754269472239E-18)); #1825=DIRECTION('',(-0.707106781186549,0.707106781186546,8.56937425802595E-17)); #1826=DIRECTION('axis',(0.,0.,1.)); #1827=DIRECTION('refdir',(1.,0.,0.)); #1828=DIRECTION('center_axis',(-1.,3.99349163682185E-21,0.)); #1829=DIRECTION('ref_axis',(-1.77943137565708E-21,-1.,0.)); #1830=DIRECTION('center_axis',(-1.,3.99349163682185E-21,0.)); #1831=DIRECTION('ref_axis',(-3.99349163682185E-21,-1.,0.)); #1832=DIRECTION('',(-0.707106781186547,0.707106781186547,8.65956056235493E-17)); #1833=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1834=DIRECTION('ref_axis',(0.,-1.,0.)); #1835=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1836=DIRECTION('ref_axis',(0.,-1.,0.)); #1837=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1838=DIRECTION('ref_axis',(0.,1.,0.)); #1839=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1840=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1841=DIRECTION('ref_axis',(0.,1.,0.)); #1842=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1843=DIRECTION('ref_axis',(0.,1.,0.)); #1844=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1845=DIRECTION('ref_axis',(0.,0.,-1.)); #1846=DIRECTION('center_axis',(-3.99349163682185E-21,-1.,3.20493781063927E-16)); #1847=DIRECTION('ref_axis',(0.,-3.20493781063927E-16,-1.)); #1848=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1849=DIRECTION('',(-1.51414657542811E-37,-3.20493781063927E-16,-1.)); #1850=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1851=DIRECTION('center_axis',(-1.99674581841093E-21,-0.5,-0.866025403784439)); #1852=DIRECTION('ref_axis',(-1.,0.,2.30564347152562E-21)); #1853=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1854=DIRECTION('',(3.45846520728842E-21,0.866025403784439,-0.5)); #1855=DIRECTION('center_axis',(1.99674581841093E-21,0.5,-0.866025403784439)); #1856=DIRECTION('ref_axis',(-1.,0.,-2.30564347152562E-21)); #1857=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1858=DIRECTION('',(3.45846520728842E-21,0.866025403784439,0.5)); #1859=DIRECTION('center_axis',(3.99349163682185E-21,1.,-3.20493781063927E-16)); #1860=DIRECTION('ref_axis',(0.,3.20493781063927E-16,1.)); #1861=DIRECTION('',(1.,-3.99349163682185E-21,0.)); #1862=DIRECTION('',(1.51414657542812E-37,3.20493781063927E-16,1.)); #1863=DIRECTION('center_axis',(-1.99674581841093E-21,-0.5,0.866025403784439)); #1864=DIRECTION('ref_axis',(1.,0.,2.30564347152562E-21)); #1865=DIRECTION('',(-3.45846520728842E-21,-0.866025403784439,-0.5)); #1866=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1867=DIRECTION('center_axis',(1.99674581841093E-21,0.5,0.866025403784438)); #1868=DIRECTION('ref_axis',(1.,0.,-2.30564347152562E-21)); #1869=DIRECTION('',(-3.45846520728842E-21,-0.866025403784438,0.5)); #1870=DIRECTION('center_axis',(-1.,3.99349163682185E-21,0.)); #1871=DIRECTION('ref_axis',(0.,1.,0.)); #1872=DIRECTION('',(-0.5,-0.866025403784438,-1.06057523872491E-16)); #1873=DIRECTION('center_axis',(-1.,3.99349163682185E-21,0.)); #1874=DIRECTION('ref_axis',(0.,0.,1.)); #1875=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1876=DIRECTION('ref_axis',(0.,1.,0.)); #1877=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1878=DIRECTION('ref_axis',(0.,1.,0.)); #1879=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1880=DIRECTION('ref_axis',(0.,1.,0.)); #1881=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1882=DIRECTION('ref_axis',(0.,1.,0.)); #1883=DIRECTION('center_axis',(1.,-3.99349163682185E-21,0.)); #1884=DIRECTION('ref_axis',(0.,1.,0.)); #1885=DIRECTION('',(-1.,3.99349163682185E-21,0.)); #1886=DIRECTION('center_axis',(-1.,3.99349163682185E-21,0.)); #1887=DIRECTION('ref_axis',(0.,1.,0.)); #1888=DIRECTION('',(-0.707106781186549,-0.707106781186546,-8.65956056235492E-17)); #1889=DIRECTION('',(0.,0.,1.)); #1890=DIRECTION('',(1.,0.,0.)); #1891=DIRECTION('',(1.,-3.67227615837552E-15,2.08792550710593E-51)); #1892=DIRECTION('',(3.67227615837552E-15,1.,1.63704045272915E-33)); #1893=DIRECTION('',(-0.999779392784023,-0.0210039464008548,5.54855600817609E-17)); #1894=DIRECTION('',(-5.54978032976392E-17,5.02032557249573E-31,-1.)); #1895=DIRECTION('',(-0.999779392784023,-0.0210039464008548,5.54855600817609E-17)); #1896=DIRECTION('',(-5.54978032976392E-17,5.02032557249573E-31,-1.)); #1897=CARTESIAN_POINT('',(0.,0.,0.)); #1898=CARTESIAN_POINT('',(0.,0.,0.)); #1899=CARTESIAN_POINT('Origin',(7.5,12.,-40.0454310910905)); #1900=CARTESIAN_POINT('',(9.75,12.,4.)); #1901=CARTESIAN_POINT('',(5.25,12.,4.)); #1902=CARTESIAN_POINT('Origin',(7.5,12.,4.)); #1903=CARTESIAN_POINT('Origin',(7.5,12.,4.)); #1904=CARTESIAN_POINT('',(5.25,12.,0.)); #1905=CARTESIAN_POINT('',(5.25,12.,-40.0454310910905)); #1906=CARTESIAN_POINT('Origin',(7.5,12.,0.)); #1907=CARTESIAN_POINT('Origin',(-7.5,-12.,-40.0454310910905)); #1908=CARTESIAN_POINT('',(-5.25,-12.,4.)); #1909=CARTESIAN_POINT('',(-9.75,-12.,4.)); #1910=CARTESIAN_POINT('Origin',(-7.5,-12.,4.)); #1911=CARTESIAN_POINT('Origin',(-7.5,-12.,4.)); #1912=CARTESIAN_POINT('',(-9.75,-12.,0.)); #1913=CARTESIAN_POINT('',(-9.75,-12.,-40.0454310910905)); #1914=CARTESIAN_POINT('Origin',(-7.5,-12.,0.)); #1915=CARTESIAN_POINT('Origin',(7.5,-12.,-40.0454310910905)); #1916=CARTESIAN_POINT('',(9.75,-12.,4.)); #1917=CARTESIAN_POINT('',(5.25,-12.,4.)); #1918=CARTESIAN_POINT('Origin',(7.5,-12.,4.)); #1919=CARTESIAN_POINT('Origin',(7.5,-12.,4.)); #1920=CARTESIAN_POINT('',(5.25,-12.,0.)); #1921=CARTESIAN_POINT('',(5.25,-12.,-40.0454310910905)); #1922=CARTESIAN_POINT('Origin',(7.5,-12.,0.)); #1923=CARTESIAN_POINT('Origin',(-7.5,12.,-40.0454310910905)); #1924=CARTESIAN_POINT('',(-5.25,12.,4.)); #1925=CARTESIAN_POINT('',(-9.75,12.,4.)); #1926=CARTESIAN_POINT('Origin',(-7.5,12.,4.)); #1927=CARTESIAN_POINT('Origin',(-7.5,12.,4.)); #1928=CARTESIAN_POINT('',(-9.75,12.,0.)); #1929=CARTESIAN_POINT('',(-9.75,12.,-40.0454310910905)); #1930=CARTESIAN_POINT('Origin',(-7.5,12.,0.)); #1931=CARTESIAN_POINT('Origin',(-7.5,-15.75,0.25)); #1932=CARTESIAN_POINT('',(-10.,-16.,0.5)); #1933=CARTESIAN_POINT('',(-10.,-15.5,0.)); #1934=CARTESIAN_POINT('',(-10.,-16.,0.5)); #1935=CARTESIAN_POINT('',(10.,-15.5,0.)); #1936=CARTESIAN_POINT('',(-7.5,-15.5,0.)); #1937=CARTESIAN_POINT('',(10.,-16.,0.5)); #1938=CARTESIAN_POINT('',(10.,-15.5,0.)); #1939=CARTESIAN_POINT('',(-7.5,-16.,0.5)); #1940=CARTESIAN_POINT('Origin',(-10.,-11.,0.25)); #1941=CARTESIAN_POINT('',(-15.,-11.,0.5)); #1942=CARTESIAN_POINT('Origin',(-10.,-11.,0.5)); #1943=CARTESIAN_POINT('',(-14.5,-11.,0.)); #1944=CARTESIAN_POINT('',(-15.,-11.,0.5)); #1945=CARTESIAN_POINT('Origin',(-10.,-11.,0.)); #1946=CARTESIAN_POINT('Origin',(-14.75,8.,0.25)); #1947=CARTESIAN_POINT('',(-15.,11.,0.5)); #1948=CARTESIAN_POINT('',(-14.5,11.,0.)); #1949=CARTESIAN_POINT('',(-15.,11.,0.5)); #1950=CARTESIAN_POINT('',(-14.5,8.,0.)); #1951=CARTESIAN_POINT('',(-15.,8.,0.5)); #1952=CARTESIAN_POINT('Origin',(-10.,11.,0.25)); #1953=CARTESIAN_POINT('',(-10.,16.,0.5)); #1954=CARTESIAN_POINT('Origin',(-10.,11.,0.5)); #1955=CARTESIAN_POINT('',(-10.,15.5,0.)); #1956=CARTESIAN_POINT('',(-10.,16.,0.5)); #1957=CARTESIAN_POINT('Origin',(-10.,11.,0.)); #1958=CARTESIAN_POINT('Origin',(7.5,15.75,0.25)); #1959=CARTESIAN_POINT('',(10.,16.,0.5)); #1960=CARTESIAN_POINT('',(10.,15.5,0.)); #1961=CARTESIAN_POINT('',(10.,16.,0.5)); #1962=CARTESIAN_POINT('',(7.5,15.5,0.)); #1963=CARTESIAN_POINT('',(7.5,16.,0.5)); #1964=CARTESIAN_POINT('Origin',(10.,11.,0.25)); #1965=CARTESIAN_POINT('',(15.,11.,0.5)); #1966=CARTESIAN_POINT('Origin',(10.,11.,0.5)); #1967=CARTESIAN_POINT('',(14.5,11.,0.)); #1968=CARTESIAN_POINT('',(15.,11.,0.5)); #1969=CARTESIAN_POINT('Origin',(10.,11.,0.)); #1970=CARTESIAN_POINT('Origin',(14.75,-8.,0.25)); #1971=CARTESIAN_POINT('',(15.,-11.,0.5)); #1972=CARTESIAN_POINT('',(14.5,-11.,0.)); #1973=CARTESIAN_POINT('',(15.,-11.,0.5)); #1974=CARTESIAN_POINT('',(14.5,-8.,0.)); #1975=CARTESIAN_POINT('',(15.,-8.,0.5)); #1976=CARTESIAN_POINT('Origin',(10.,-11.,0.25)); #1977=CARTESIAN_POINT('Origin',(10.,-11.,0.5)); #1978=CARTESIAN_POINT('Origin',(10.,-11.,0.)); #1979=CARTESIAN_POINT('Origin',(-10.,11.,0.)); #1980=CARTESIAN_POINT('',(-15.,11.,3.5)); #1981=CARTESIAN_POINT('',(-15.,11.,0.)); #1982=CARTESIAN_POINT('',(-10.,16.,3.5)); #1983=CARTESIAN_POINT('Origin',(-10.,11.,3.5)); #1984=CARTESIAN_POINT('',(-10.,16.,0.)); #1985=CARTESIAN_POINT('Origin',(-15.,16.,0.)); #1986=CARTESIAN_POINT('',(-15.,-11.,3.5)); #1987=CARTESIAN_POINT('',(-15.,-11.,0.)); #1988=CARTESIAN_POINT('',(-15.,8.,3.5)); #1989=CARTESIAN_POINT('Origin',(-10.,-11.,0.)); #1990=CARTESIAN_POINT('',(-10.,-16.,3.5)); #1991=CARTESIAN_POINT('',(-10.,-16.,0.)); #1992=CARTESIAN_POINT('Origin',(-10.,-11.,3.5)); #1993=CARTESIAN_POINT('Origin',(-15.,-16.,0.)); #1994=CARTESIAN_POINT('',(10.,-16.,3.5)); #1995=CARTESIAN_POINT('',(10.,-16.,0.)); #1996=CARTESIAN_POINT('',(-7.5,-16.,3.5)); #1997=CARTESIAN_POINT('Origin',(0.,0.,0.)); #1998=CARTESIAN_POINT('',(-3.75,0.,0.)); #1999=CARTESIAN_POINT('',(-9.25,-3.36777869765522E-16,0.)); #2000=CARTESIAN_POINT('Origin',(-6.5,0.,0.)); #2001=CARTESIAN_POINT('Origin',(-6.5,0.,0.)); #2002=CARTESIAN_POINT('',(9.25,0.,0.)); #2003=CARTESIAN_POINT('',(3.75,-3.36777869765522E-16,0.)); #2004=CARTESIAN_POINT('Origin',(6.5,0.,0.)); #2005=CARTESIAN_POINT('Origin',(6.5,0.,0.)); #2006=CARTESIAN_POINT('Origin',(-7.5,-15.75,3.75)); #2007=CARTESIAN_POINT('',(10.,-15.5,4.)); #2008=CARTESIAN_POINT('',(10.,-16.,3.5)); #2009=CARTESIAN_POINT('',(-10.,-15.5,4.)); #2010=CARTESIAN_POINT('',(-7.5,-15.5,4.)); #2011=CARTESIAN_POINT('',(-10.,-15.5,4.)); #2012=CARTESIAN_POINT('Origin',(10.,-11.,3.75)); #2013=CARTESIAN_POINT('',(15.,-11.,3.5)); #2014=CARTESIAN_POINT('Origin',(10.,-11.,3.5)); #2015=CARTESIAN_POINT('',(14.5,-11.,4.)); #2016=CARTESIAN_POINT('',(15.,-11.,3.5)); #2017=CARTESIAN_POINT('Origin',(10.,-11.,4.)); #2018=CARTESIAN_POINT('Origin',(14.75,-8.,3.75)); #2019=CARTESIAN_POINT('',(15.,11.,3.5)); #2020=CARTESIAN_POINT('',(14.5,11.,4.)); #2021=CARTESIAN_POINT('',(15.,11.,3.5)); #2022=CARTESIAN_POINT('',(14.5,-8.,4.)); #2023=CARTESIAN_POINT('',(15.,-8.,3.5)); #2024=CARTESIAN_POINT('Origin',(10.,11.,3.75)); #2025=CARTESIAN_POINT('',(10.,16.,3.5)); #2026=CARTESIAN_POINT('Origin',(10.,11.,3.5)); #2027=CARTESIAN_POINT('',(10.,15.5,4.)); #2028=CARTESIAN_POINT('',(10.,16.,3.5)); #2029=CARTESIAN_POINT('Origin',(10.,11.,4.)); #2030=CARTESIAN_POINT('Origin',(7.5,15.75,3.75)); #2031=CARTESIAN_POINT('',(-10.,15.5,4.)); #2032=CARTESIAN_POINT('',(-10.,16.,3.5)); #2033=CARTESIAN_POINT('',(7.5,15.5,4.)); #2034=CARTESIAN_POINT('',(7.5,16.,3.5)); #2035=CARTESIAN_POINT('Origin',(-10.,11.,3.75)); #2036=CARTESIAN_POINT('',(-14.5,11.,4.)); #2037=CARTESIAN_POINT('',(-15.,11.,3.5)); #2038=CARTESIAN_POINT('Origin',(-10.,11.,4.)); #2039=CARTESIAN_POINT('Origin',(-14.75,8.,3.75)); #2040=CARTESIAN_POINT('',(-14.5,-11.,4.)); #2041=CARTESIAN_POINT('',(-15.,-11.,3.5)); #2042=CARTESIAN_POINT('',(-14.5,8.,4.)); #2043=CARTESIAN_POINT('Origin',(-10.,-11.,3.75)); #2044=CARTESIAN_POINT('Origin',(-10.,-11.,4.)); #2045=CARTESIAN_POINT('Origin',(10.,11.,0.)); #2046=CARTESIAN_POINT('',(10.,16.,0.)); #2047=CARTESIAN_POINT('',(15.,11.,0.)); #2048=CARTESIAN_POINT('Origin',(15.,-16.,0.)); #2049=CARTESIAN_POINT('',(15.,-11.,0.)); #2050=CARTESIAN_POINT('Origin',(10.,-11.,0.)); #2051=CARTESIAN_POINT('Origin',(0.,0.,4.)); #2052=CARTESIAN_POINT('',(-0.25,0.,4.)); #2053=CARTESIAN_POINT('',(-12.75,-7.65404249467096E-16,4.)); #2054=CARTESIAN_POINT('Origin',(-6.5,0.,4.)); #2055=CARTESIAN_POINT('Origin',(-6.5,0.,4.)); #2056=CARTESIAN_POINT('',(12.75,0.,4.)); #2057=CARTESIAN_POINT('',(0.25,-7.65404249467096E-16,4.)); #2058=CARTESIAN_POINT('Origin',(6.5,0.,4.)); #2059=CARTESIAN_POINT('Origin',(6.5,0.,4.)); #2060=CARTESIAN_POINT('Origin',(15.,16.,0.)); #2061=CARTESIAN_POINT('Origin',(6.5,0.,2.25)); #2062=CARTESIAN_POINT('',(3.75,-3.36777869765522E-16,0.500000000000002)); #2063=CARTESIAN_POINT('',(2.,-5.51091059616309E-16,2.25)); #2064=CARTESIAN_POINT('',(9.25,0.,0.5)); #2065=CARTESIAN_POINT('Origin',(6.5,0.,0.500000000000004)); #2066=CARTESIAN_POINT('Origin',(6.5,0.,0.500000000000004)); #2067=CARTESIAN_POINT('Origin',(6.5,0.,-40.0454310910905)); #2068=CARTESIAN_POINT('',(3.75,-3.36777869765522E-16,-40.0454310910905)); #2069=CARTESIAN_POINT('Origin',(-6.5,0.,2.25)); #2070=CARTESIAN_POINT('',(-9.25,-3.36777869765522E-16,0.500000000000002)); #2071=CARTESIAN_POINT('',(-11.,-5.51091059616309E-16,2.25)); #2072=CARTESIAN_POINT('',(-3.75,0.,0.5)); #2073=CARTESIAN_POINT('Origin',(-6.5,0.,0.500000000000004)); #2074=CARTESIAN_POINT('Origin',(-6.5,0.,0.500000000000004)); #2075=CARTESIAN_POINT('Origin',(-6.5,0.,-40.0454310910905)); #2076=CARTESIAN_POINT('',(-9.25,-3.36777869765522E-16,-40.0454310910905)); #2077=CARTESIAN_POINT('',(0.,0.,0.)); #2078=CARTESIAN_POINT('Origin',(0.,0.,0.)); #2079=CARTESIAN_POINT('',(-9.75,-7.31132894047656E-32,-6.09450727319768E-16)); #2080=CARTESIAN_POINT('',(9.75,-7.31132894047656E-32,-5.84579901848902E-16)); #2081=CARTESIAN_POINT('Origin',(0.,0.,0.)); #2082=CARTESIAN_POINT('',(4.43601895734597,8.68240956244678,5.65781811659511E-18)); #2083=CARTESIAN_POINT('',(-4.43601895734597,8.68240956244678,-5.48913459823667E-16)); #2084=CARTESIAN_POINT('Origin',(0.,8.68240956244678,0.)); #2085=CARTESIAN_POINT('Origin',(0.,8.68240956244678,0.)); #2086=CARTESIAN_POINT('',(3.75,-9.,4.78285105208961E-18)); #2087=CARTESIAN_POINT('Origin',(0.,-9.,0.)); #2088=CARTESIAN_POINT('Origin',(0.,-20.,0.)); #2089=CARTESIAN_POINT('',(4.,9.39481239833984,5.10170778889559E-18)); #2090=CARTESIAN_POINT('',(-4.,9.39481239833984,-4.94960427447837E-16)); #2091=CARTESIAN_POINT('Origin',(0.,9.39481239833984,0.)); #2092=CARTESIAN_POINT('',(-4.,14.5017397698216,-4.94960427447837E-16)); #2093=CARTESIAN_POINT('',(-4.,-20.,-4.94960427447837E-16)); #2094=CARTESIAN_POINT('',(4.,14.5017397698216,5.10170778889559E-18)); #2095=CARTESIAN_POINT('Origin',(0.,14.5017397698216,0.)); #2096=CARTESIAN_POINT('Origin',(0.,14.5017397698216,0.)); #2097=CARTESIAN_POINT('Origin',(0.,9.39481239833984,0.)); #2098=CARTESIAN_POINT('Origin',(0.,15.0829737922259,0.)); #2099=CARTESIAN_POINT('',(9.55450849718747,16.8877430058551,1.21860776047926E-17)); #2100=CARTESIAN_POINT('Origin',(0.,16.8877430058551,0.)); #2101=CARTESIAN_POINT('',(8.65,16.5938503797089,-3.50671171486806E-16)); #2102=CARTESIAN_POINT('',(4.,15.0829737922259,-4.84757011870046E-16)); #2103=CARTESIAN_POINT('',(8.16741980969907,16.4639588530233,-1.16603215561299)); #2104=CARTESIAN_POINT('Ctrl Pts',(8.16741980969881,16.4639588530232,-1.1660321556127)); #2105=CARTESIAN_POINT('Ctrl Pts',(8.29297407917586,16.4985718532777,-1.04032846839084)); #2106=CARTESIAN_POINT('Ctrl Pts',(8.40173763973306,16.5278804301771,-0.889581425610082)); #2107=CARTESIAN_POINT('Ctrl Pts',(8.59330421869519,16.5790600586938,-0.49767388736232)); #2108=CARTESIAN_POINT('Ctrl Pts',(8.65,16.5938503797089,-0.242734871685741)); #2109=CARTESIAN_POINT('Ctrl Pts',(8.65,16.5938503797089,4.16333634234434E-16)); #2110=CARTESIAN_POINT('',(5.35,15.5216153821403,-3.93570190727165E-16)); #2111=CARTESIAN_POINT('Ctrl Pts',(5.35,15.5216153821403,-6.93889390390723E-17)); #2112=CARTESIAN_POINT('Ctrl Pts',(5.35,15.5216153821403,-0.173867635763598)); #2113=CARTESIAN_POINT('Ctrl Pts',(5.37927689514043,15.5341943014897,-0.360243654506353)); #2114=CARTESIAN_POINT('Ctrl Pts',(5.49882469860388,15.5839455293778,-0.709218986738409)); #2115=CARTESIAN_POINT('Ctrl Pts',(5.58900679343034,15.6208638548575,-0.871884878631249)); #2116=CARTESIAN_POINT('Ctrl Pts',(5.801832704654,15.7046071198457,-1.14861050114129)); #2117=CARTESIAN_POINT('Ctrl Pts',(5.94340881761467,15.7586909214379,-1.28150048317217)); #2118=CARTESIAN_POINT('Ctrl Pts',(6.26246670475534,15.8746492885338,-1.48817772174063)); #2119=CARTESIAN_POINT('Ctrl Pts',(6.43995636952119,15.9364339647266,-1.56200321888449)); #2120=CARTESIAN_POINT('Ctrl Pts',(6.61107142857143,15.9936446783874,-1.60350695861494)); #2121=CARTESIAN_POINT('Ctrl Pts',(6.84195334352602,16.0708378792618,-1.65950707315734)); #2122=CARTESIAN_POINT('Ctrl Pts',(7.09963519461304,16.1525380806195,-1.6661867830272)); #2123=CARTESIAN_POINT('Ctrl Pts',(7.59280820575634,16.301673841384,-1.56034729199592)); #2124=CARTESIAN_POINT('Ctrl Pts',(7.8282260036761,16.3693162576402,-1.44777784710699)); #2125=CARTESIAN_POINT('Ctrl Pts',(8.0708538305568,16.437242072486,-1.2569641941872)); #2126=CARTESIAN_POINT('Ctrl Pts',(8.12028400005704,16.4509643782202,-1.21322405993293)); #2127=CARTESIAN_POINT('Ctrl Pts',(8.16741980969881,16.4639588530232,-1.1660321556127)); #2128=CARTESIAN_POINT('',(4.55278640450004,15.2625849828577,-5.51748783282003E-16)); #2129=CARTESIAN_POINT('',(4.,15.0829737922259,-4.84757011870046E-16)); #2130=CARTESIAN_POINT('',(0.65639469297591,15.2625849828577,-4.50522031115389)); #2131=CARTESIAN_POINT('Origin',(0.,15.2625849828577,0.)); #2132=CARTESIAN_POINT('',(2.09999999999995,16.0027605438501,-6.50000000000551)); #2133=CARTESIAN_POINT('Ctrl Pts',(2.09999999999995,16.0027605438501,-6.50000000001103)); #2134=CARTESIAN_POINT('Ctrl Pts',(2.10000000000119,15.9301482270286,-6.26514853918064)); #2135=CARTESIAN_POINT('Ctrl Pts',(2.05960526059613,15.8546478890142,-6.03309635546458)); #2136=CARTESIAN_POINT('Ctrl Pts',(1.98738268605426,15.7820133697864,-5.82153846153848)); #2137=CARTESIAN_POINT('Ctrl Pts',(1.91989096788029,15.7141366908875,-5.62383842322066)); #2138=CARTESIAN_POINT('Ctrl Pts',(1.81559203413759,15.6406197154819,-5.4207656004547)); #2139=CARTESIAN_POINT('Ctrl Pts',(1.54424861695249,15.5017772668018,-5.05902194832571)); #2140=CARTESIAN_POINT('Ctrl Pts',(1.37716450203882,15.4365688425603,-4.90033593207545)); #2141=CARTESIAN_POINT('Ctrl Pts',(1.04437660565845,15.3369642166417,-4.66796337168649)); #2142=CARTESIAN_POINT('Ctrl Pts',(0.856546153896369,15.2931370786772,-4.57108139720473)); #2143=CARTESIAN_POINT('Ctrl Pts',(0.656394692975911,15.2625849828577,-4.50522031115389)); #2144=CARTESIAN_POINT('',(-0.656394692975985,15.2625849828578,-4.50522031115388)); #2145=CARTESIAN_POINT('Ctrl Pts',(-0.656394692975996,15.2625849828577,-4.50522031115388)); #2146=CARTESIAN_POINT('Ctrl Pts',(-0.856546153896449,15.2931370786772,-4.57108139720473)); #2147=CARTESIAN_POINT('Ctrl Pts',(-1.04437660565852,15.3369642166417,-4.66796337168648)); #2148=CARTESIAN_POINT('Ctrl Pts',(-1.37716450203889,15.4365688425603,-4.90033593207543)); #2149=CARTESIAN_POINT('Ctrl Pts',(-1.54424861695256,15.5017772668018,-5.05902194832569)); #2150=CARTESIAN_POINT('Ctrl Pts',(-1.81559203413766,15.6406197154819,-5.42076560045468)); #2151=CARTESIAN_POINT('Ctrl Pts',(-1.91989096788037,15.7141366908875,-5.62383842322064)); #2152=CARTESIAN_POINT('Ctrl Pts',(-2.09025963044985,15.885477105927,-6.12289063332024)); #2153=CARTESIAN_POINT('Ctrl Pts',(-2.12653944295238,15.9944192721234,-6.46593372079731)); #2154=CARTESIAN_POINT('Ctrl Pts',(-2.03062868984862,16.1923824424124,-7.13235811069148)); #2155=CARTESIAN_POINT('Ctrl Pts',(-1.89838394080323,16.2818147095393,-7.45560937742044)); #2156=CARTESIAN_POINT('Ctrl Pts',(-1.52302566623174,16.4219575305754,-7.98037923496144)); #2157=CARTESIAN_POINT('Ctrl Pts',(-1.26436958482015,16.4804760432398,-8.21026619106152)); #2158=CARTESIAN_POINT('Ctrl Pts',(-0.665550022888688,16.5581779064642,-8.52007029614461)); #2159=CARTESIAN_POINT('Ctrl Pts',(-0.325424612263229,16.5776043948972,-8.6)); #2160=CARTESIAN_POINT('Ctrl Pts',(0.325424612263109,16.5776043948972,-8.6)); #2161=CARTESIAN_POINT('Ctrl Pts',(0.66555002288857,16.5581779064642,-8.52007029614461)); #2162=CARTESIAN_POINT('Ctrl Pts',(1.26436958482004,16.4804760432398,-8.21026619106153)); #2163=CARTESIAN_POINT('Ctrl Pts',(1.52302566623163,16.4219575305754,-7.98037923496146)); #2164=CARTESIAN_POINT('Ctrl Pts',(1.89838394080313,16.2818147095393,-7.45560937742046)); #2165=CARTESIAN_POINT('Ctrl Pts',(2.03062868984852,16.1923824424124,-7.13235811069151)); #2166=CARTESIAN_POINT('Ctrl Pts',(2.09287338902686,16.0639071924089,-6.69985826753843)); #2167=CARTESIAN_POINT('Ctrl Pts',(2.09999999999943,16.0335803371058,-6.59968107046063)); #2168=CARTESIAN_POINT('Ctrl Pts',(2.09999999999995,16.0027605438501,-6.50000000001103)); #2169=CARTESIAN_POINT('',(-4.55278640450005,15.2625849828577,-5.63362276212511E-16)); #2170=CARTESIAN_POINT('Origin',(0.,15.2625849828577,0.)); #2171=CARTESIAN_POINT('',(-0.656394692975942,15.2625849828577,4.50522031115388)); #2172=CARTESIAN_POINT('Origin',(0.,15.2625849828577,0.)); #2173=CARTESIAN_POINT('',(2.1,16.0027605438501,6.50000000000551)); #2174=CARTESIAN_POINT('Ctrl Pts',(2.1,16.0027605438501,6.50000000001103)); #2175=CARTESIAN_POINT('Ctrl Pts',(2.1,16.0335803371058,6.59968107046061)); #2176=CARTESIAN_POINT('Ctrl Pts',(2.09287338902691,16.0639071924089,6.69985826753843)); #2177=CARTESIAN_POINT('Ctrl Pts',(2.03062868984857,16.1923824424124,7.1323581106915)); #2178=CARTESIAN_POINT('Ctrl Pts',(1.89838394080318,16.2818147095393,7.45560937742045)); #2179=CARTESIAN_POINT('Ctrl Pts',(1.52302566623169,16.4219575305754,7.98037923496145)); #2180=CARTESIAN_POINT('Ctrl Pts',(1.2643695848201,16.4804760432398,8.21026619106153)); #2181=CARTESIAN_POINT('Ctrl Pts',(0.66555002288863,16.5581779064642,8.52007029614461)); #2182=CARTESIAN_POINT('Ctrl Pts',(0.32542461226317,16.5776043948972,8.6)); #2183=CARTESIAN_POINT('Ctrl Pts',(-0.325424612263168,16.5776043948972,8.6)); #2184=CARTESIAN_POINT('Ctrl Pts',(-0.665550022888628,16.5581779064642,8.52007029614461)); #2185=CARTESIAN_POINT('Ctrl Pts',(-1.2643695848201,16.4804760432398,8.21026619106152)); #2186=CARTESIAN_POINT('Ctrl Pts',(-1.52302566623169,16.4219575305754,7.98037923496145)); #2187=CARTESIAN_POINT('Ctrl Pts',(-1.89838394080318,16.2818147095393,7.45560937742045)); #2188=CARTESIAN_POINT('Ctrl Pts',(-2.03062868984857,16.1923824424124,7.1323581106915)); #2189=CARTESIAN_POINT('Ctrl Pts',(-2.12653944295233,15.9944192721234,6.46593372079733)); #2190=CARTESIAN_POINT('Ctrl Pts',(-2.09025963044981,15.885477105927,6.12289063332026)); #2191=CARTESIAN_POINT('Ctrl Pts',(-1.9873826860543,15.7820133697864,5.82153846153846)); #2192=CARTESIAN_POINT('Ctrl Pts',(-1.91989096788033,15.7141366908875,5.62383842322065)); #2193=CARTESIAN_POINT('Ctrl Pts',(-1.81559203413762,15.6406197154819,5.42076560045469)); #2194=CARTESIAN_POINT('Ctrl Pts',(-1.54424861695252,15.5017772668018,5.0590219483257)); #2195=CARTESIAN_POINT('Ctrl Pts',(-1.37716450203885,15.4365688425603,4.90033593207543)); #2196=CARTESIAN_POINT('Ctrl Pts',(-1.04437660565848,15.3369642166417,4.66796337168648)); #2197=CARTESIAN_POINT('Ctrl Pts',(-0.856546153896401,15.2931370786772,4.57108139720473)); #2198=CARTESIAN_POINT('Ctrl Pts',(-0.656394692975941,15.2625849828577,4.50522031115388)); #2199=CARTESIAN_POINT('',(0.656394692975953,15.2625849828578,4.50522031115388)); #2200=CARTESIAN_POINT('Ctrl Pts',(0.656394692975965,15.2625849828577,4.50522031115389)); #2201=CARTESIAN_POINT('Ctrl Pts',(0.856546153896417,15.2931370786772,4.57108139720473)); #2202=CARTESIAN_POINT('Ctrl Pts',(1.04437660565849,15.3369642166417,4.66796337168649)); #2203=CARTESIAN_POINT('Ctrl Pts',(1.37716450203886,15.4365688425603,4.90033593207544)); #2204=CARTESIAN_POINT('Ctrl Pts',(1.54424861695252,15.5017772668018,5.0590219483257)); #2205=CARTESIAN_POINT('Ctrl Pts',(1.81559203413763,15.6406197154819,5.42076560045469)); #2206=CARTESIAN_POINT('Ctrl Pts',(1.91989096788033,15.7141366908875,5.62383842322065)); #2207=CARTESIAN_POINT('Ctrl Pts',(2.05960526059618,15.8546478890142,6.03309635546457)); #2208=CARTESIAN_POINT('Ctrl Pts',(2.10000000000003,15.9301482270285,6.26514853918068)); #2209=CARTESIAN_POINT('Ctrl Pts',(2.10000000000001,16.0027605438501,6.50000000001103)); #2210=CARTESIAN_POINT('Origin',(0.,15.2625849828577,0.)); #2211=CARTESIAN_POINT('Ctrl Pts',(8.65,16.5938503797089,4.16333634234434E-16)); #2212=CARTESIAN_POINT('Ctrl Pts',(8.65,16.5938503797089,0.242734871685742)); #2213=CARTESIAN_POINT('Ctrl Pts',(8.59330421869519,16.5790600586938,0.497673887362321)); #2214=CARTESIAN_POINT('Ctrl Pts',(8.37146164006301,16.5197917832718,0.95152016546212)); #2215=CARTESIAN_POINT('Ctrl Pts',(8.20633266784733,16.4751705761355,1.15041742183596)); #2216=CARTESIAN_POINT('Ctrl Pts',(7.8282260036761,16.3693162576402,1.44777784710699)); #2217=CARTESIAN_POINT('Ctrl Pts',(7.59280820575635,16.301673841384,1.56034729199592)); #2218=CARTESIAN_POINT('Ctrl Pts',(7.09963519461304,16.1525380806195,1.6661867830272)); #2219=CARTESIAN_POINT('Ctrl Pts',(6.84195334352602,16.0708378792618,1.65950707315734)); #2220=CARTESIAN_POINT('Ctrl Pts',(6.43995636952119,15.9364339647266,1.56200321888449)); #2221=CARTESIAN_POINT('Ctrl Pts',(6.26246670475534,15.8746492885338,1.48817772174063)); #2222=CARTESIAN_POINT('Ctrl Pts',(5.94340881761467,15.7586909214379,1.28150048317217)); #2223=CARTESIAN_POINT('Ctrl Pts',(5.801832704654,15.7046071198457,1.14861050114129)); #2224=CARTESIAN_POINT('Ctrl Pts',(5.58900679343034,15.6208638548575,0.871884878631249)); #2225=CARTESIAN_POINT('Ctrl Pts',(5.49882469860389,15.5839455293778,0.70921898673841)); #2226=CARTESIAN_POINT('Ctrl Pts',(5.37927689514043,15.5341943014897,0.360243654506353)); #2227=CARTESIAN_POINT('Ctrl Pts',(5.35,15.5216153821403,0.173867635763598)); #2228=CARTESIAN_POINT('Ctrl Pts',(5.35,15.5216153821403,-2.08166817117217E-16)); #2229=CARTESIAN_POINT('',(-5.81818510017079,15.7104027758955,-1.15143976939381)); #2230=CARTESIAN_POINT('',(-5.35,15.5216153821403,1.95243187691665E-16)); #2231=CARTESIAN_POINT('Ctrl Pts',(-5.81818510017079,15.7104027758955,-1.15143976939381)); #2232=CARTESIAN_POINT('Ctrl Pts',(-5.77264543520454,15.6929391690292,-1.10469876216017)); #2233=CARTESIAN_POINT('Ctrl Pts',(-5.73047877564769,15.6765305996966,-1.05583298025917)); #2234=CARTESIAN_POINT('Ctrl Pts',(-5.58900679343034,15.6208638548575,-0.871884878631249)); #2235=CARTESIAN_POINT('Ctrl Pts',(-5.49882469860389,15.5839455293778,-0.70921898673841)); #2236=CARTESIAN_POINT('Ctrl Pts',(-5.37927689514043,15.5341943014897,-0.360243654506354)); #2237=CARTESIAN_POINT('Ctrl Pts',(-5.35,15.5216153821403,-0.173867635763598)); #2238=CARTESIAN_POINT('Ctrl Pts',(-5.35,15.5216153821403,0.)); #2239=CARTESIAN_POINT('Ctrl Pts',(-5.35,15.5216153821403,6.93889390390723E-17)); #2240=CARTESIAN_POINT('Ctrl Pts',(-5.35,15.5216153821403,0.173867635763598)); #2241=CARTESIAN_POINT('Ctrl Pts',(-5.37927689514043,15.5341943014897,0.360243654506353)); #2242=CARTESIAN_POINT('Ctrl Pts',(-5.49882469860388,15.5839455293778,0.709218986738409)); #2243=CARTESIAN_POINT('Ctrl Pts',(-5.58900679343034,15.6208638548575,0.871884878631249)); #2244=CARTESIAN_POINT('Ctrl Pts',(-5.801832704654,15.7046071198457,1.14861050114129)); #2245=CARTESIAN_POINT('Ctrl Pts',(-5.94340881761467,15.7586909214379,1.28150048317217)); #2246=CARTESIAN_POINT('Ctrl Pts',(-6.26246670475534,15.8746492885338,1.48817772174063)); #2247=CARTESIAN_POINT('Ctrl Pts',(-6.43995636952119,15.9364339647266,1.56200321888449)); #2248=CARTESIAN_POINT('Ctrl Pts',(-6.61107142857143,15.9936446783874,1.60350695861494)); #2249=CARTESIAN_POINT('Ctrl Pts',(-6.84195334352602,16.0708378792618,1.65950707315734)); #2250=CARTESIAN_POINT('Ctrl Pts',(-7.09963519461304,16.1525380806195,1.6661867830272)); #2251=CARTESIAN_POINT('Ctrl Pts',(-7.59280820575634,16.301673841384,1.56034729199592)); #2252=CARTESIAN_POINT('Ctrl Pts',(-7.8282260036761,16.3693162576402,1.44777784710699)); #2253=CARTESIAN_POINT('Ctrl Pts',(-8.20633266784733,16.4751705761355,1.15041742183596)); #2254=CARTESIAN_POINT('Ctrl Pts',(-8.37146164006301,16.5197917832718,0.95152016546212)); #2255=CARTESIAN_POINT('Ctrl Pts',(-8.59330421869519,16.5790600586938,0.49767388736232)); #2256=CARTESIAN_POINT('Ctrl Pts',(-8.65,16.5938503797089,0.242734871685741)); #2257=CARTESIAN_POINT('Ctrl Pts',(-8.65,16.5938503797089,-0.242734871685742)); #2258=CARTESIAN_POINT('Ctrl Pts',(-8.59330421869519,16.5790600586938,-0.497673887362321)); #2259=CARTESIAN_POINT('Ctrl Pts',(-8.37146164006301,16.5197917832718,-0.95152016546212)); #2260=CARTESIAN_POINT('Ctrl Pts',(-8.20633266784733,16.4751705761355,-1.15041742183596)); #2261=CARTESIAN_POINT('Ctrl Pts',(-7.8282260036761,16.3693162576402,-1.44777784710699)); #2262=CARTESIAN_POINT('Ctrl Pts',(-7.59280820575635,16.301673841384,-1.56034729199592)); #2263=CARTESIAN_POINT('Ctrl Pts',(-7.09963519461304,16.1525380806195,-1.6661867830272)); #2264=CARTESIAN_POINT('Ctrl Pts',(-6.84195334352602,16.0708378792618,-1.65950707315734)); #2265=CARTESIAN_POINT('Ctrl Pts',(-6.43995636952119,15.9364339647266,-1.56200321888449)); #2266=CARTESIAN_POINT('Ctrl Pts',(-6.26246670475534,15.8746492885338,-1.48817772174063)); #2267=CARTESIAN_POINT('Ctrl Pts',(-5.9992234105525,15.7789761716011,-1.31765569639568)); #2268=CARTESIAN_POINT('Ctrl Pts',(-5.90280663965343,15.7428535481847,-1.23829363606172)); #2269=CARTESIAN_POINT('Ctrl Pts',(-5.81818510017079,15.7104027758955,-1.15143976939381)); #2270=CARTESIAN_POINT('Origin',(0.,-20.,0.)); #2271=CARTESIAN_POINT('',(-9.9,17.3632712640027,-1.26267267775166E-17)); #2272=CARTESIAN_POINT('',(9.9,17.3632712640027,-1.19977360437836E-15)); #2273=CARTESIAN_POINT('Origin',(0.,17.3632712640027,0.)); #2274=CARTESIAN_POINT('Origin',(0.,17.3632712640027,0.)); #2275=CARTESIAN_POINT('',(-9.9,22.,-1.2250270579334E-15)); #2276=CARTESIAN_POINT('',(-9.9,-20.,-1.2250270579334E-15)); #2277=CARTESIAN_POINT('',(9.9,22.,1.26267267775166E-17)); #2278=CARTESIAN_POINT('Origin',(0.,22.,0.)); #2279=CARTESIAN_POINT('Origin',(0.,22.,0.)); #2280=CARTESIAN_POINT('Origin',(-1.26267267775167E-17,22.,9.9)); #2281=CARTESIAN_POINT('',(-9.5,22.,-1.2116555998627E-17)); #2282=CARTESIAN_POINT('Origin',(-7.,22.,-8.92798863056728E-18)); #2283=CARTESIAN_POINT('',(9.5,22.,1.2116555998627E-17)); #2284=CARTESIAN_POINT('Origin',(7.,22.,8.92798863056728E-18)); #2285=CARTESIAN_POINT('',(-1.66427948235051,22.,-2.12266689950167E-18)); #2286=CARTESIAN_POINT('',(1.66427948235082,22.0000000000005,-2.01692787195216E-16)); #2287=CARTESIAN_POINT('Origin',(0.,22.,0.)); #2288=CARTESIAN_POINT('Origin',(0.,22.,0.)); #2289=CARTESIAN_POINT('',(-3.00000000000005,22.,-6.5)); #2290=CARTESIAN_POINT('',(2.99999999999996,22.,-6.5)); #2291=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,22.,-6.5)); #2292=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,22.,-6.5)); #2293=CARTESIAN_POINT('',(-3.,22.,6.5)); #2294=CARTESIAN_POINT('',(3.00000000000001,22.,6.5)); #2295=CARTESIAN_POINT('Origin',(7.8770385482759E-16,22.,6.5)); #2296=CARTESIAN_POINT('Origin',(7.8770385482759E-16,22.,6.5)); #2297=CARTESIAN_POINT('Origin',(-4.52949823587935E-33,-9.,3.55135842608489E-15)); #2298=CARTESIAN_POINT('Origin',(0.,17.3632712640027,0.)); #2299=CARTESIAN_POINT('Origin',(9.4,17.3632712640027,-1.13917897789461E-15)); #2300=CARTESIAN_POINT('Origin',(0.,9.39481239833984,0.)); #2301=CARTESIAN_POINT('Origin',(-4.8,9.39481239833984,-5.93952512937404E-16)); #2302=CARTESIAN_POINT('Origin',(0.,14.5017397698216,0.)); #2303=CARTESIAN_POINT('Origin',(-4.8,14.5017397698216,-5.93952512937404E-16)); #2304=CARTESIAN_POINT('Ctrl Pts',(-0.656394692975996,15.2625849828577,-4.50522031115388)); #2305=CARTESIAN_POINT('Ctrl Pts',(-0.549591603498306,15.2462820380352,-4.47007608870236)); #2306=CARTESIAN_POINT('Ctrl Pts',(-0.439103081236517,15.2280952220191,-4.44320925747274)); #2307=CARTESIAN_POINT('Ctrl Pts',(-0.265881121760312,15.2078425963741,-4.41602261462146)); #2308=CARTESIAN_POINT('Ctrl Pts',(-0.205739011041461,15.2022693331872,-4.40921384358891)); #2309=CARTESIAN_POINT('Ctrl Pts',(-0.109837686356623,15.1967581930164,-4.40257941089791)); #2310=CARTESIAN_POINT('Ctrl Pts',(-0.07469603454013,15.1954388460751,-4.40103195327237)); #2311=CARTESIAN_POINT('Ctrl Pts',(-0.00913914246376719,15.1943916930737, -4.39980181324289)); #2312=CARTESIAN_POINT('Ctrl Pts',(0.0211080832707681,15.1944650808389,-4.39988718798076)); #2313=CARTESIAN_POINT('Ctrl Pts',(0.0883248245934016,15.195864614535,-4.40153353725783)); #2314=CARTESIAN_POINT('Ctrl Pts',(0.12512109301968,15.1974567285004,-4.40340573835844)); #2315=CARTESIAN_POINT('Ctrl Pts',(0.231973884542108,15.204276353744,-4.41166956298791)); #2316=CARTESIAN_POINT('Ctrl Pts',(0.30125104107968,15.2114667083778,-4.42054705144506)); #2317=CARTESIAN_POINT('Ctrl Pts',(0.467363489805578,15.2327342768655,-4.45027898447693)); #2318=CARTESIAN_POINT('Ctrl Pts',(0.562953094583735,15.2483216012442,-4.47447277064564)); #2319=CARTESIAN_POINT('Ctrl Pts',(0.656394692975911,15.2625849828577,-4.50522031115389)); #2320=CARTESIAN_POINT('Ctrl Pts',(0.656394692975964,15.2625849828577,4.50522031115389)); #2321=CARTESIAN_POINT('Ctrl Pts',(0.549591603498267,15.2462820380352,4.47007608870236)); #2322=CARTESIAN_POINT('Ctrl Pts',(0.439103081236475,15.2280952220191,4.44320925747274)); #2323=CARTESIAN_POINT('Ctrl Pts',(0.265881121760265,15.2078425963741,4.41602261462146)); #2324=CARTESIAN_POINT('Ctrl Pts',(0.205739011041421,15.2022693331872,4.40921384358891)); #2325=CARTESIAN_POINT('Ctrl Pts',(0.109837686356628,15.1967581930164,4.40257941089791)); #2326=CARTESIAN_POINT('Ctrl Pts',(0.0746960345401155,15.1954388460751,4.40103195327237)); #2327=CARTESIAN_POINT('Ctrl Pts',(0.0091391424637336,15.1943916930737,4.39980181324289)); #2328=CARTESIAN_POINT('Ctrl Pts',(-0.021108083270803,15.1944650808389,4.39988718798076)); #2329=CARTESIAN_POINT('Ctrl Pts',(-0.0883248245934222,15.195864614535,4.40153353725783)); #2330=CARTESIAN_POINT('Ctrl Pts',(-0.125121093019689,15.1974567285004,4.40340573835844)); #2331=CARTESIAN_POINT('Ctrl Pts',(-0.231973884542152,15.204276353744,4.41166956298791)); #2332=CARTESIAN_POINT('Ctrl Pts',(-0.301251041079737,15.2114667083778,4.42054705144506)); #2333=CARTESIAN_POINT('Ctrl Pts',(-0.467363489805612,15.2327342768655,4.45027898447692)); #2334=CARTESIAN_POINT('Ctrl Pts',(-0.562953094583769,15.2483216012442,4.47447277064564)); #2335=CARTESIAN_POINT('Ctrl Pts',(-0.656394692975942,15.2625849828577,4.50522031115388)); #2336=CARTESIAN_POINT('Origin',(7.8770385482759E-16,14.,6.5)); #2337=CARTESIAN_POINT('',(2.1,21.1,6.5)); #2338=CARTESIAN_POINT('',(2.1,14.,6.5)); #2339=CARTESIAN_POINT('',(-2.1,21.1,6.5)); #2340=CARTESIAN_POINT('Origin',(7.8770385482759E-16,21.1,6.5)); #2341=CARTESIAN_POINT('Origin',(7.8770385482759E-16,21.1,6.5)); #2342=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,14.,-6.5)); #2343=CARTESIAN_POINT('',(2.09999999999995,21.1,-6.5)); #2344=CARTESIAN_POINT('',(2.09999999999995,14.,-6.5)); #2345=CARTESIAN_POINT('',(-2.10000000000005,21.1,-6.5)); #2346=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,21.1,-6.5)); #2347=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,21.1,-6.5)); #2348=CARTESIAN_POINT('Origin',(-4.61769612597883E-14,21.1,-6.5)); #2349=CARTESIAN_POINT('',(2.09999999999995,21.1,-6.5)); #2350=CARTESIAN_POINT('Origin',(7.8770385482759E-16,21.1,6.5)); #2351=CARTESIAN_POINT('',(2.1,21.1,6.5)); #2352=CARTESIAN_POINT('Origin',(0.,1021.,0.)); #2353=CARTESIAN_POINT('',(0.,21.0000000000004,0.)); #2354=CARTESIAN_POINT('',(1664.2794823505,1021.,-2.01692787195213E-13)); #2355=CARTESIAN_POINT('Origin',(7.,22.2005091013182,8.92798863056728E-18)); #2356=CARTESIAN_POINT('',(8.65,21.15,1.10324430934867E-17)); #2357=CARTESIAN_POINT('',(5.35,21.15,-1.95243187691665E-16)); #2358=CARTESIAN_POINT('Origin',(7.,21.15,8.92798863056728E-18)); #2359=CARTESIAN_POINT('Origin',(7.,21.15,8.92798863056728E-18)); #2360=CARTESIAN_POINT('',(5.35,22.2005091013182,-1.95243187691665E-16)); #2361=CARTESIAN_POINT('Origin',(7.,21.15,8.92798863056728E-18)); #2362=CARTESIAN_POINT('',(8.65,21.15,-1.91034278765827E-16)); #2363=CARTESIAN_POINT('Origin',(-7.,22.2005091013182,-8.92798863056728E-18)); #2364=CARTESIAN_POINT('',(-8.65,21.15,-1.10324430934867E-17)); #2365=CARTESIAN_POINT('',(-5.35,21.15,1.95243187691665E-16)); #2366=CARTESIAN_POINT('Origin',(-7.,21.15,-8.92798863056728E-18)); #2367=CARTESIAN_POINT('',(-5.35,22.2005091013182,1.95243187691665E-16)); #2368=CARTESIAN_POINT('Origin',(-7.,21.15,-8.92798863056728E-18)); #2369=CARTESIAN_POINT('Origin',(-7.,21.15,-8.92798863056728E-18)); #2370=CARTESIAN_POINT('',(-8.65,21.15,1.91034278765827E-16)); #2371=CARTESIAN_POINT('',(0.,0.,0.)); #2372=CARTESIAN_POINT('Origin',(9.75462,9.79922977547472E-22,0.)); #2373=CARTESIAN_POINT('',(10.,2.00923999999999,-2.46060933471882E-16)); #2374=CARTESIAN_POINT('Origin',(10.,-2.95874279090671E-31,0.)); #2375=CARTESIAN_POINT('',(9.50924,2.49999999999999,3.06161699786837E-16)); #2376=CARTESIAN_POINT('',(9.75462,2.25461999999999,2.76111316629359E-16)); #2377=CARTESIAN_POINT('',(9.50924,-2.49999999999999,-3.06161699786837E-16)); #2378=CARTESIAN_POINT('Origin',(9.50924,1.95984595539082E-21,0.)); #2379=CARTESIAN_POINT('Origin',(9.50924,1.95984595539082E-21,0.)); #2380=CARTESIAN_POINT('Origin',(7.8,8.78568160071219E-21,0.)); #2381=CARTESIAN_POINT('',(3.09999999999999,-2.49999999999999,-3.06161699786837E-16)); #2382=CARTESIAN_POINT('',(7.8,-2.49999999999999,-3.06161699786837E-16)); #2383=CARTESIAN_POINT('',(3.09999999999999,2.5,0.)); #2384=CARTESIAN_POINT('Origin',(3.09999999999999,2.75550922937749E-20,0.)); #2385=CARTESIAN_POINT('Origin',(3.09999999999999,2.75550922937749E-20,0.)); #2386=CARTESIAN_POINT('Origin',(10.,1.25,0.)); #2387=CARTESIAN_POINT('Origin',(-1.88633591963287E-20,-1.5,-0.866025403784439)); #2388=CARTESIAN_POINT('',(1.9,-1.5,-0.866025403784439)); #2389=CARTESIAN_POINT('',(2.03397459621556,-1.5,-2.3676434399933E-16)); #2390=CARTESIAN_POINT('Ctrl Pts',(1.9,-1.5,-0.86602540378444)); #2391=CARTESIAN_POINT('Ctrl Pts',(2.03397459621556,-1.5,-0.401923788646684)); #2392=CARTESIAN_POINT('Ctrl Pts',(2.03397459621556,-1.5,-2.89831668126557E-16)); #2393=CARTESIAN_POINT('',(-1.88633591963287E-20,-1.5,-0.866025403784439)); #2394=CARTESIAN_POINT('',(0.950000000000001,-1.5,-0.866025403784439)); #2395=CARTESIAN_POINT('',(-1.88633591963287E-20,-1.5,0.866025403784439)); #2396=CARTESIAN_POINT('',(-1.88633591963287E-20,-1.5,-0.39157825352263)); #2397=CARTESIAN_POINT('',(1.9,-1.5,0.866025403784439)); #2398=CARTESIAN_POINT('',(0.950000000000001,-1.5,0.866025403784439)); #2399=CARTESIAN_POINT('Ctrl Pts',(2.03397459621556,-1.5,-2.89831668126557E-16)); #2400=CARTESIAN_POINT('Ctrl Pts',(2.03397459621556,-1.5,0.401923788646685)); #2401=CARTESIAN_POINT('Ctrl Pts',(1.9,-1.5,0.866025403784441)); #2402=CARTESIAN_POINT('Origin',(-1.2873121741096E-20,-2.12068107492835E-16, -1.73205080756888)); #2403=CARTESIAN_POINT('',(1.9,-2.77555756156289E-16,-1.73205080756888)); #2404=CARTESIAN_POINT('Ctrl Pts',(1.9,1.66530618314712E-15,-1.73205080756888)); #2405=CARTESIAN_POINT('Ctrl Pts',(2.15,-0.749999999999999,-1.29903810567666)); #2406=CARTESIAN_POINT('Ctrl Pts',(1.9,-1.5,-0.866025403784438)); #2407=CARTESIAN_POINT('',(-1.2873121741096E-20,-2.12068107492835E-16,-1.73205080756888)); #2408=CARTESIAN_POINT('',(0.950000000000001,1.38777878078145E-16,-1.73205080756888)); #2409=CARTESIAN_POINT('',(-1.43182298116586E-20,-0.361865806162738,-1.5231274869703)); #2410=CARTESIAN_POINT('Origin',(-6.88288428586318E-21,1.5,-0.866025403784439)); #2411=CARTESIAN_POINT('',(1.9,1.5,-0.86602540378444)); #2412=CARTESIAN_POINT('Ctrl Pts',(1.9,1.5,-0.866025403784439)); #2413=CARTESIAN_POINT('Ctrl Pts',(2.15,0.75,-1.29903810567666)); #2414=CARTESIAN_POINT('Ctrl Pts',(1.9,-8.32695622259489E-16,-1.73205080756888)); #2415=CARTESIAN_POINT('',(-6.88288428586318E-21,1.5,-0.866025403784439)); #2416=CARTESIAN_POINT('',(0.950000000000001,1.5,-0.866025403784439)); #2417=CARTESIAN_POINT('',(-8.18469275835646E-21,1.17401747871712,-1.05423150019822)); #2418=CARTESIAN_POINT('Origin',(-6.88288428586318E-21,1.5,0.866025403784438)); #2419=CARTESIAN_POINT('',(1.9,1.5,0.866025403784439)); #2420=CARTESIAN_POINT('Ctrl Pts',(1.9,1.5,0.866025403784441)); #2421=CARTESIAN_POINT('Ctrl Pts',(2.15,1.5,-5.55111512312578E-16)); #2422=CARTESIAN_POINT('Ctrl Pts',(1.9,1.5,-0.866025403784442)); #2423=CARTESIAN_POINT('',(-6.88288428586318E-21,1.5,0.866025403784438)); #2424=CARTESIAN_POINT('',(0.950000000000001,1.5,0.866025403784438)); #2425=CARTESIAN_POINT('',(-6.88288428586318E-21,1.5,0.474447150261808)); #2426=CARTESIAN_POINT('Origin',(-1.88633591963287E-20,-1.5,0.866025403784439)); #2427=CARTESIAN_POINT('',(1.9,-1.38777878078145E-16,1.73205080756888)); #2428=CARTESIAN_POINT('Ctrl Pts',(1.9,-1.5,0.866025403784439)); #2429=CARTESIAN_POINT('Ctrl Pts',(2.15,-0.75,1.29903810567666)); #2430=CARTESIAN_POINT('Ctrl Pts',(1.9,5.55083158521957E-16,1.73205080756888)); #2431=CARTESIAN_POINT('',(-1.2873121741096E-20,0.,1.73205080756888)); #2432=CARTESIAN_POINT('',(-1.71700489412056E-20,-1.07598252128288,1.11083200926288)); #2433=CARTESIAN_POINT('',(0.950000000000001,-5.55111512312578E-16,1.73205080756888)); #2434=CARTESIAN_POINT('Origin',(-1.2873121741096E-20,0.,1.73205080756888)); #2435=CARTESIAN_POINT('Ctrl Pts',(1.9,-9.71473500337634E-16,1.73205080756888)); #2436=CARTESIAN_POINT('Ctrl Pts',(2.15,0.750000000000001,1.29903810567666)); #2437=CARTESIAN_POINT('Ctrl Pts',(1.9,1.5,0.866025403784437)); #2438=CARTESIAN_POINT('',(-1.13231110840423E-20,0.388134193837263,1.50796142627524)); #2439=CARTESIAN_POINT('Origin',(2.4,3.03505364395502E-20,0.)); #2440=CARTESIAN_POINT('',(2.9,2.83537906211393E-20,0.)); #2441=CARTESIAN_POINT('',(2.4,-0.866025403784438,-1.06057523872491E-16)); #2442=CARTESIAN_POINT('Origin',(0.,3.22352540378444,0.)); #2443=CARTESIAN_POINT('',(0.,4.71500000000001,0.)); #2444=CARTESIAN_POINT('',(0.,-4.71500000000001,-5.77420965797978E-16)); #2445=CARTESIAN_POINT('Origin',(0.,3.99349163679227E-20,0.)); #2446=CARTESIAN_POINT('Origin',(0.,3.99349163679227E-20,0.)); #2447=CARTESIAN_POINT('Origin',(0.442499999999988,3.8167796318629E-20,0.)); #2448=CARTESIAN_POINT('',(0.884999999999976,4.71500000000001,0.)); #2449=CARTESIAN_POINT('',(0.884999999999976,-4.71500000000001,-5.77420965797978E-16)); #2450=CARTESIAN_POINT('Origin',(0.884999999999976,3.64006762693354E-20, 0.)); #2451=CARTESIAN_POINT('Origin',(0.884999999999976,3.64006762693354E-20, 0.)); #2452=CARTESIAN_POINT('',(0.442499999999988,-4.71500000000001,-5.77420965797978E-16)); #2453=CARTESIAN_POINT('Origin',(1.99249999999998,3.19778842815552E-20,0.)); #2454=CARTESIAN_POINT('',(1.99249999999998,-3.6075,-4.41791332792408E-16)); #2455=CARTESIAN_POINT('',(0.,0.,0.)); #2456=CARTESIAN_POINT('',(1.11022302462516E-15,2.22044604925031E-14,-22.)); #2457=CARTESIAN_POINT('',(-6.5,-3.5527136788005E-14,3.59999999999999)); #2458=CARTESIAN_POINT('',(6.5,-1.77635683940025E-14,3.59999999999999)); #2459=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2469, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2460=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2469, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2461=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2469, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2462=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2469, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2463=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2469, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2464=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2459)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2469,#2471,#2472)) REPRESENTATION_CONTEXT('','3D') ); #2465=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2460)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2469,#2471,#2472)) REPRESENTATION_CONTEXT('','3D') ); #2466=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2461)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2469,#2471,#2472)) REPRESENTATION_CONTEXT('','3D') ); #2467=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2462)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2469,#2471,#2472)) REPRESENTATION_CONTEXT('','3D') ); #2468=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2463)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2469,#2471,#2472)) REPRESENTATION_CONTEXT('','3D') ); #2469=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #2470=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #2471=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #2472=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #2473=SHAPE_DEFINITION_REPRESENTATION(#2477,#2485); #2474=SHAPE_DEFINITION_REPRESENTATION(#2478,#2486); #2475=SHAPE_DEFINITION_REPRESENTATION(#2479,#2487); #2476=SHAPE_DEFINITION_REPRESENTATION(#2480,#2488); #2477=PRODUCT_DEFINITION_SHAPE('',$,#2490); #2478=PRODUCT_DEFINITION_SHAPE('',$,#2491); #2479=PRODUCT_DEFINITION_SHAPE('',$,#2492); #2480=PRODUCT_DEFINITION_SHAPE('',$,#2493); #2481=PRODUCT_DEFINITION_SHAPE($,$,#23); #2482=PRODUCT_DEFINITION_SHAPE($,$,#24); #2483=PRODUCT_DEFINITION_SHAPE($,$,#25); #2484=PRODUCT_DEFINITION_SHAPE($,$,#26); #2485=SHAPE_REPRESENTATION('',(#1345,#1499,#1500,#1501,#1502),#2464); #2486=SHAPE_REPRESENTATION('',(#1346),#2465); #2487=SHAPE_REPRESENTATION('',(#1421),#2466); #2488=SHAPE_REPRESENTATION('',(#1476),#2467); #2489=PRODUCT_DEFINITION_CONTEXT('part definition',#2503,'design'); #2490=PRODUCT_DEFINITION('BEK-P-Platte','BEK-P-Platte',#2494,#2489); #2491=PRODUCT_DEFINITION('590.0529.120','Platte BEK-P',#2495,#2489); #2492=PRODUCT_DEFINITION('Kugelkopf 4Bohr','Kugelkopf 4Bohr',#2496,#2489); #2493=PRODUCT_DEFINITION('DIN 7991 - M5 x 10','DIN 7991 - M5 x 10', #2497,#2489); #2494=PRODUCT_DEFINITION_FORMATION('',$,#2505); #2495=PRODUCT_DEFINITION_FORMATION('',$,#2506); #2496=PRODUCT_DEFINITION_FORMATION('ANY',$,#2507); #2497=PRODUCT_DEFINITION_FORMATION('',$,#2508); #2498=PRODUCT_RELATED_PRODUCT_CATEGORY('BEK-P-Platte','BEK-P-Platte',(#2505)); #2499=PRODUCT_RELATED_PRODUCT_CATEGORY('Platte BEK-P','Platte BEK-P',(#2506)); #2500=PRODUCT_RELATED_PRODUCT_CATEGORY('Kugelkopf 4Bohr', 'Kugelkopf 4Bohr',(#2507)); #2501=PRODUCT_RELATED_PRODUCT_CATEGORY('DIN 7991 - M5 x 10', 'DIN 7991 - M5 x 10',(#2508)); #2502=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#2503); #2503=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #2504=PRODUCT_CONTEXT('part definition',#2503,'mechanical'); #2505=PRODUCT('BEK-P-Platte','BEK-P-Platte',$,(#2504)); #2506=PRODUCT('590.0529.120','Platte BEK-P','BEK-P Adapterplatte',(#2504)); #2507=PRODUCT('Kugelkopf 4Bohr','Kugelkopf 4Bohr','STEP AP203',(#2504)); #2508=PRODUCT('DIN 7991 - M5 x 10','DIN 7991 - M5 x 10', 'Senkschraube',(#2504)); ENDSEC; END-ISO-10303-21;